loadpatents
name:-0.30262017250061
name:-0.26088690757751
name:-0.081562995910645
Liu; Chi-Wen Patent Filings

Liu; Chi-Wen

Patent Applications and Registrations

Patent applications and USPTO patent grants for Liu; Chi-Wen.The latest application filed is for "systems and methods for fabricating finfets with different threshold voltages".

Company Profile
81.200.200
  • Liu; Chi-Wen - Hsinchu TW
  • Liu; Chi-Wen - Hsin-Chu TW
  • Liu; Chi Wen - Taipei TW
  • LIU; Chi-Wen - Hsinchu City TW
  • Liu; Chi Wen - Taipei City TW
  • Liu; Chi-Wen - Hsin-Chu City TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Devices Including Gate Spacer with Gap or Void and Methods of Forming the Same
App 20220310826 - Chiang; Kuo-Cheng ;   et al.
2022-09-29
Systems And Methods For Fabricating Finfets With Different Threshold Voltages
App 20220310593 - LIU; Chi-Wen ;   et al.
2022-09-29
Strained Nanowire CMOS Device and Method of Forming
App 20220302257 - Peng; Cheng-Yi ;   et al.
2022-09-22
Wrap around silicide for FinFETs
Grant 11,437,479 - Chiang , et al. September 6, 2
2022-09-06
Stacked Gate-All-Around FinFET and Method Forming the Same
App 20220271165 - Chiang; Kuo-Cheng ;   et al.
2022-08-25
Interconnection structure, fabricating method thereof, and semiconductor device using the same
Grant 11,404,376 - Lin , et al. August 2, 2
2022-08-02
Strained Nanowire CMOS Device and Method of Forming
App 20220216301 - Peng; Cheng-Yi ;   et al.
2022-07-07
FinFET devices and methods of forming
Grant 11,362,004 - Chiang , et al. June 14, 2
2022-06-14
Systems and methods for fabricating FinFETs with different threshold voltages
Grant 11,362,087 - Liu , et al. June 14, 2
2022-06-14
Stacked Gate-All-Around FinFET and method forming the same
Grant 11,335,809 - Chiang , et al. May 17, 2
2022-05-17
Strained nanowire CMOS device and method of forming
Grant 11,309,385 - Peng , et al. April 19, 2
2022-04-19
Passivated and Faceted for Fin Field Effect Transistor
App 20220045214 - Chen; Yen-Yu ;   et al.
2022-02-10
FinFETs with wrap-around silicide and method forming the same
Grant 11,211,498 - Chiang , et al. December 28, 2
2021-12-28
Fin spacer protected source and drain regions in FinFETs
Grant 11,205,594 - Chiang , et al. December 21, 2
2021-12-21
Structure and Method for Vertical Tunneling Field Effect Transistor with Leveled Source and Drain
App 20210384327 - Chuang; Harry-Hak-Lay ;   et al.
2021-12-09
3D Capacitor and Method of Manufacturing Same
App 20210359107 - Liu; Chi-Wen ;   et al.
2021-11-18
Passivated and faceted for fin field effect transistor
Grant 11,158,743 - Chen , et al. October 26, 2
2021-10-26
Interconnection Structure, Fabricating Method Thereof, and Semiconductor Device Using the Same
App 20210327814 - Lin; Yu-Hung ;   et al.
2021-10-21
Integrated circuit having a MOM capacitor and transistor
Grant 11,133,301 - Liu , et al. September 28, 2
2021-09-28
Semiconductor Device and Method
App 20210296112 - Fan; Sheng-Ting ;   et al.
2021-09-23
Semiconductor Device and Method of Forming the Same
App 20210288151 - Chang; Chia-Ming ;   et al.
2021-09-16
Semiconductor Device and Fabricating Method Thereof
App 20210280575 - Huang; Cheng-Chien ;   et al.
2021-09-09
Structure and method for vertical tunneling field effect transistor with leveled source and drain
Grant 11,101,371 - Chuang , et al. August 24, 2
2021-08-24
3D capacitor based on fin structure having low-resistance surface and method of manufacturing same
Grant 11,075,278 - Liu , et al. July 27, 2
2021-07-27
Semiconductor Device Having Modified Profile Metal Gate
App 20210226029 - HUANG; Yu-Lien ;   et al.
2021-07-22
Interconnection structure, fabricating method thereof, and semiconductor device using the same
Grant 11,049,813 - Lin , et al. June 29, 2
2021-06-29
Semiconductor device and method
Grant 11,043,376 - Fan , et al. June 22, 2
2021-06-22
Semiconductor device and method of forming the same
Grant 11,024,718 - Chang , et al. June 1, 2
2021-06-01
Semiconductor device and fabricating method thereof
Grant 11,018,131 - Huang , et al. May 25, 2
2021-05-25
Smart phone with a text recognition module
Grant 11,012,549 - Liu , et al. May 18, 2
2021-05-18
Metal gate stack having TaAlCN layer
Grant 10,998,194 - Jangjian , et al. May 4, 2
2021-05-04
FinFET with sloped surface at interface between isolation structures and manufacturing method thereof
Grant 10,998,235 - Li , et al. May 4, 2
2021-05-04
FinFET structure and method for fabricating the same
Grant 10,998,425 - Chiang , et al. May 4, 2
2021-05-04
Metal gate scheme for device and methods of forming
Grant 10,998,415 - JangJian , et al. May 4, 2
2021-05-04
Interconnection Structure, Fabricating Method Thereof, And Semiconductor Device Using The Same
App 20210118807 - Lin; Yu-Hung ;   et al.
2021-04-22
Integrated Circuit Structure and Method with Solid Phase Diffusion
App 20210118997 - Peng; Cheng-Yi ;   et al.
2021-04-22
Antifuse array and method of forming antifuse using anodic oxidation
Grant 10,978,461 - Hwu , et al. April 13, 2
2021-04-13
Semiconductor device having modified profile metal gate
Grant 10,971,594 - Huang , et al. April 6, 2
2021-04-06
Devices Including Gate Spacer with Gap or Void and Methods of Forming the Same
App 20210098609 - Chiang; Kuo-Cheng ;   et al.
2021-04-01
Semiconductor device and manufacturing method thereof
Grant 10,964,801 - Li , et al. March 30, 2
2021-03-30
FETs and methods for forming the same
Grant 10,930,784 - Huang , et al. February 23, 2
2021-02-23
FinFET Structure with Different Fin Heights and Method for Forming the Same
App 20210050247 - Huang; Yu-Lien ;   et al.
2021-02-18
Devices including gate spacer with gap or void and methods of forming the same
Grant 10,868,150 - Chiang , et al. December 15, 2
2020-12-15
Interconnection structure, fabricating method thereof, and semiconductor device using the same
Grant 10,861,791 - Lin , et al. December 8, 2
2020-12-08
Integrated circuit structure and method with solid phase diffusion
Grant 10,861,937 - Peng , et al. December 8, 2
2020-12-08
One-dimensional nanostructure growth on graphene and devices thereof
Grant 10,854,724 - Yang , et al. December 1, 2
2020-12-01
FinFET structure with different fin heights and method for forming the same
Grant 10,840,126 - Huang , et al. November 17, 2
2020-11-17
Strained Nanowire CMOS Device and Method of Forming
App 20200357885 - Peng; Cheng-Yi ;   et al.
2020-11-12
Self-aligned contact and manufacturing method thereof
Grant 10,825,907 - Lee , et al. November 3, 2
2020-11-03
Finfet With Sloped Surface At Interface Between Isolation Structures And Manufacturing Method Thereof
App 20200343141 - Li; Chih-Sheng ;   et al.
2020-10-29
Fin Spacer Protected Source and Drain Regions in FinFETs
App 20200303258 - Chiang; Kuo-Cheng ;   et al.
2020-09-24
Wrap Around Silicide for FinFETs
App 20200287041 - Chiang; Kuo-Cheng ;   et al.
2020-09-10
Finfet Devices And Methods Of Forming
App 20200279781 - Chiang; Kuo-Cheng ;   et al.
2020-09-03
Stacked gate-all-around FinFET and method forming the same
Grant 10,763,368 - Chiang , et al. Sep
2020-09-01
Field-effect transistors having contacts to 2D material active region
Grant 10,741,646 - Yeh , et al. A
2020-08-11
Semiconductor Device and Method
App 20200243327 - Fan; Sheng-Ting ;   et al.
2020-07-30
FinFET with sloped surface at interface between isolation structures and manufacturing method thereof
Grant 10,727,135 - Li , et al.
2020-07-28
Strained nanowire CMOS device and method of forming
Grant 10,727,298 - Peng , et al.
2020-07-28
Vertical gate all around (VGAA) devices and methods of manufacturing the same
Grant 10,700,176 - Chang , et al.
2020-06-30
Multi-fin device and method of making same
Grant 10,679,990 - Liu , et al.
2020-06-09
Fin spacer protected source and drain regions in FinFETs
Grant 10,679,900 - Chiang , et al.
2020-06-09
Wrap Around Silicide for FinFETs
Grant 10,665,718 - Chiang , et al.
2020-05-26
FinFET devices and methods of forming
Grant 10,658,247 - Chiang , et al.
2020-05-19
Strained Nanowire CMOS Device and Method of Forming
App 20200135854 - Peng; Cheng-Yi ;   et al.
2020-04-30
Semiconductor device and method
Grant 10,636,651 - Fan , et al.
2020-04-28
Forming gate stacks of FinFETs through oxidation
Grant 10,622,480 - Chiang , et al.
2020-04-14
Devices Including Gate Spacer with Gap or Void and Methods of Forming the Same
App 20200111897 - Chiang; Kuo-Cheng ;   et al.
2020-04-09
Metal Gate Scheme for Device and Methods of Forming
App 20200098883 - JangJian; Shiu-Ko ;   et al.
2020-03-26
Metal Gate Stack Having TaAlCN Layer
App 20200090938 - JANGJIAN; SHIU-KO ;   et al.
2020-03-19
FinFET Structure with Different Fin Heights and Method for Forming the Same
App 20200083091 - Huang; Yu-Lien ;   et al.
2020-03-12
Vertical Gate All Around (VGAA) Devices and Methods of Manufacturing the Same
App 20200075742 - Chang; Chia-Hao ;   et al.
2020-03-05
Antifuse Array and Method of Forming Antifuse Using Anodic Oxidation
App 20200051988 - Hwu; Jenn-Gwo ;   et al.
2020-02-13
Stacked Gate-All-Around FinFET and Method Forming the Same
App 20200044088 - Chiang; Kuo-Cheng ;   et al.
2020-02-06
Semiconductor Device And Manufacturing Method Thereof
App 20200035564 - Li; Chih-Sheng ;   et al.
2020-01-30
Semiconductor Device and Manufacturing Method Thereof
App 20200027963 - Li; Chih-Sheng ;   et al.
2020-01-23
Strained nanowire CMOS device and method of forming
Grant 10,535,732 - Peng , et al. Ja
2020-01-14
Interconnection Structure, Fabricating Method Thereof, and Semiconductor Device Using the Same
App 20200013719 - Lin; Yu-Hung ;   et al.
2020-01-09
Semiconductor Device Having Modified Profile Metal Gate
App 20200013869 - HUANG; Yu-Lien ;   et al.
2020-01-09
Semiconductor Device and Method of Forming the Same
App 20200006498 - Chang; Chia-Ming ;   et al.
2020-01-02
Metal gate scheme for device and methods of forming
Grant 10,522,640 - JangJian , et al. Dec
2019-12-31
FinFET with sloped surface at interface between isolation structures
Grant 10,504,787 - Li , et al. Dec
2019-12-10
Semiconductor device and method of forming the same
Grant 10,505,001 - Chang , et al. Dec
2019-12-10
FinFET structure with different fin heights and method for forming the same
Grant 10,504,770 - Huang , et al. Dec
2019-12-10
Semiconductor device with transition metal dichalocogenide hetero-structure
Grant 10,505,052 - Lin , et al. Dec
2019-12-10
Antifuse array and method of forming antifuse using anodic oxidation
Grant 10,504,907 - Hwu , et al. Dec
2019-12-10
Devices including gate spacer with gap or void and methods of forming the same
Grant 10,505,022 - Chiang , et al. Dec
2019-12-10
Passivated and Faceted for Fin Field Effect Transistor
App 20190363191 - Chen; Yen-Yu ;   et al.
2019-11-28
Vertical tunneling field-effect transistor cell and fabricating the same
Grant 10,490,654 - Chuang , et al. Nov
2019-11-26
FINFETS with Wrap-Around Silicide and Method Forming the Same
App 20190355850 - Chiang; Kuo-Cheng ;   et al.
2019-11-21
Metal gate stack having TaAlCN layer
Grant 10,483,112 - Jangjian , et al. Nov
2019-11-19
Interconnection structure, fabricating method thereof, and semiconductor device using the same
Grant 10,483,208 - Lin , et al. Nov
2019-11-19
Vertical gate all around (VGAA) devices and methods of manufacturing the same
Grant 10,483,367 - Chang , et al. Nov
2019-11-19
Semiconductor device and manufacturing method thereof
Grant 10,468,504 - Li , et al. No
2019-11-05
Field-effect Transistors Having Contacts To 2d Material Active Region
App 20190326399 - YEH; Ling-Yen ;   et al.
2019-10-24
Semiconductor Device And Method Of Manufacturing Thereof
App 20190326437 - LAN; Huang-Siang ;   et al.
2019-10-24
Method of manufacturing a semiconductor device including a ternary alloy layer formed by a microwafe anneal process
Grant 10,453,688 - Chien , et al. Oc
2019-10-22
Method of fabricating a semiconductor device having modified profile metal gate
Grant 10,418,456 - Huang , et al. Sept
2019-09-17
Pressure sensing device
Grant 10,416,031 - Hsu , et al. Sept
2019-09-17
Interconnection Structure, Fabricating Method Thereof, And Semiconductor Device Using The Same
App 20190279939 - Lin; Yu-Hung ;   et al.
2019-09-12
3D Capacitor and Method of Manufacturing Same
App 20190252519 - Liu; Chi-Wen ;   et al.
2019-08-15
Passivated and faceted for fin field effect transistor
Grant 10,381,482 - Chen , et al. A
2019-08-13
FINFETs with wrap-around silicide and method forming the same
Grant 10,355,137 - Ching , et al. July 16, 2
2019-07-16
Negative Capacitance Field Effect Transistor
App 20190207035 - Chen; Miin-Jang ;   et al.
2019-07-04
Semiconductor device having stressor layer
Grant 10,340,383 - Lan , et al.
2019-07-02
Method of forming a fin structure of semiconductor device
Grant 10,340,191 - Ching , et al.
2019-07-02
FinFET device and fabricating method thereof
Grant 10,326,006 - Peng , et al.
2019-06-18
Interconnection structure, fabricating method thereof, and semiconductor device using the same
Grant 10,297,548 - Lin , et al.
2019-05-21
Devices Including Gate Spacer with Gap or Void and Methods of Forming the Same
App 20190148526 - Ching; Kuo-Cheng ;   et al.
2019-05-16
FETs and Methods for Forming the Same
App 20190148555 - Huang; Yu-Lien ;   et al.
2019-05-16
FinFET Device and Fabricating Method Thereof
App 20190148523 - Peng; Yen-Ming ;   et al.
2019-05-16
Finfet Devices And Methods Of Forming
App 20190148244 - Ching; Kuo-Cheng ;   et al.
2019-05-16
Wrap Around Silicide for FinFETs
App 20190139829 - Ching; Kuo-Cheng ;   et al.
2019-05-09
Semiconductor Device and Manufacturing Method Thereof
App 20190140070 - Li; Chih-Sheng ;   et al.
2019-05-09
Field-effect transistors having contacts to 2D material active region
Grant 10,283,590 - Yeh , et al.
2019-05-07
3D capacitor and method of manufacturing same
Grant 10,283,613 - Liu , et al.
2019-05-07
Semiconductor Device And Manufacturing Method Thereof
App 20190131178 - Li; Chih-Sheng ;   et al.
2019-05-02
Integrated Circuit Structure and Method with Solid Phase Diffusion
App 20190123143 - Peng; Cheng-Yi ;   et al.
2019-04-25
FinFET Structure and Method for Fabricating the Same
App 20190123177 - Ching; Kuo-Cheng ;   et al.
2019-04-25
Semiconductor Device with Transition Metal Dichalocogenide Hetero-Structure
App 20190123211 - Lin; Shih-Yen ;   et al.
2019-04-25
Multi-Fin Device and Method of Making Same
App 20190123047 - Liu; Chi-Wen ;   et al.
2019-04-25
Vertical Tunneling Field-Effect Transistor Cell and Fabricating the Same
App 20190123184 - Chuang; Harry-Hak-Lay ;   et al.
2019-04-25
Integrated Circuit having a MOM Capacitor and Method of Making Same
App 20190123042 - Liu; Chi-Wen ;   et al.
2019-04-25
Metallic channel device and manufacturing method thereof
Grant 10,269,982 - Chen , et al.
2019-04-23
Semiconductor device including a fin structure
Grant 10,269,966 - Chien , et al.
2019-04-23
Structure and Method for Vertical Tunneling Field Effect Transistor with Leveled Source and Drain
App 20190097029 - Chuang; Harry-Hak-Lay ;   et al.
2019-03-28
One-dimensional Nanostructure Growth On Graphene And Devices Thereof
App 20190088757 - Yang; Che-Wei ;   et al.
2019-03-21
Finfet Gate Structure And Method For Fabricating The Same
App 20190043762 - JangJian; Shiu-Ko ;   et al.
2019-02-07
Metal Gate Stack Having TaAlCN Layer
App 20190006183 - JANGJIAN; SHIU-KO ;   et al.
2019-01-03
Wrap around silicide for FinFETs
Grant 10,170,365 - Ching , et al. J
2019-01-01
Integrated circuit having a MOM capacitor and method of making same
Grant 10,163,896 - Liu , et al. Dec
2018-12-25
Vertical tunneling field-effect transistor cell and fabricating the same
Grant 10,164,076 - Chuang , et al. Dec
2018-12-25
FETS and methods of forming FETS
Grant 10,163,903 - Ching , et al. Dec
2018-12-25
FinFETs with strained well regions
Grant 10,164,023 - Lee , et al. Dec
2018-12-25
Method of forming FinFET device by adjusting etch selectivity of dielectric layer
Grant 10,163,717 - Li , et al. Dec
2018-12-25
FETs and methods for forming the same
Grant 10,164,116 - Huang , et al. Dec
2018-12-25
FinFET device and fabricating method thereof
Grant 10,164,071 - Peng , et al. Dec
2018-12-25
FinFET structure and method for fabricating the same
Grant 10,164,068 - Ching , et al. Dec
2018-12-25
Semiconductor device and manufacturing method thereof
Grant 10,164,072 - Li , et al. Dec
2018-12-25
Self-aligned contact and manufacturing method thereof
Grant 10,164,032 - Lee , et al. Dec
2018-12-25
Devices including gate spacer with gap or void and methods of forming the same
Grant 10,164,069 - Ching , et al. Dec
2018-12-25
Integrated circuit structure and method with solid phase diffusion
Grant 10,164,016 - Peng , et al. Dec
2018-12-25
FinFET devices and methods of forming
Grant 10,163,726 - Ching , et al. Dec
2018-12-25
Semiconductor device with transition metal dichalocogenide hetero-structure
Grant 10,164,122 - Lin , et al. Dec
2018-12-25
FinFETs with strained well regions
Grant 10,164,022 - Lee , et al. Dec
2018-12-25
FinFET device and fabricating method thereof
Grant 10,164,059 - Peng , et al. Dec
2018-12-25
Multi-fin device and method of making same
Grant 10,157,920 - Liu , et al. Dec
2018-12-18
Self-Aligned Contact and Manufacturing Method Thereof
App 20180350927 - Lee; Tung Ying ;   et al.
2018-12-06
Systems And Methods For Fabricating Finfets With Different Threshold Voltages
App 20180350807 - LIU; Chi-Wen ;   et al.
2018-12-06
Method of manufacturing a FET using a two dimensional transition metal dichalcogenide including a low power oxygen plasma treatment
Grant 10,147,603 - Lin , et al. De
2018-12-04
Semiconductor Device and Fabricating Method Thereof
App 20180342503 - Huang; Cheng-Chien ;   et al.
2018-11-29
Field effect transistor using transition metal dichalcogenide and a method for manufacturing the same
Grant 10,141,412 - Wu , et al. Nov
2018-11-27
Semiconductor Device with Multi Level Interconnects and Method of Forming the Same
App 20180337113 - Liang; Jeng Min ;   et al.
2018-11-22
High-K Dielectric and Method of Manufacture
App 20180337248 - Huang; I-Chen ;   et al.
2018-11-22
FinFET Device and Fabricating Method Thereof
App 20180337267 - Peng; Yen-Ming ;   et al.
2018-11-22
Semiconductor Device and Method
App 20180337032 - Fan; Sheng-Ting ;   et al.
2018-11-22
One-dimensional nanostructure growth on graphene and devices thereof
Grant 10,134,865 - Yang , et al. November 20, 2
2018-11-20
Semiconductor device and method
Grant 10,109,477 - Fan , et al. October 23, 2
2018-10-23
FinFET Structure with Different Fin Heights and Method for Forming the Same
App 20180301339 - Huang; Yu-Lien ;   et al.
2018-10-18
Structure and method for vertical tunneling field effect transistor with leveled source and drain
Grant 10,103,253 - Chuang , et al. October 16, 2
2018-10-16
Isolation structure of fin field effect transistor
Grant 10,084,071 - Ching , et al. September 25, 2
2018-09-25
Stacked Gate-All-Around FinFET and Method Forming the Same
App 20180254348 - Ching; Kuo-Cheng ;   et al.
2018-09-06
Method of manufacturing high-k dielectric using HfO/Ti/Hfo layers
Grant 10,068,984 - Huang , et al. September 4, 2
2018-09-04
Semiconductor device including field effect transistor and a method for fabricating the same
Grant 10,068,995 - Lu , et al. September 4, 2
2018-09-04
Innovative approach of 4F.sup.2 driver formation for high-density RRAM and MRAM
Grant 10,062,735 - Ting , et al. August 28, 2
2018-08-28
Semiconductor device and method of forming the same
Grant 10,050,148 - Chang , et al. August 14, 2
2018-08-14
Semiconductor device and fabricating method thereof
Grant 10,050,030 - Huang , et al. August 14, 2
2018-08-14
Metal Gate Scheme for Device and Methods of Forming
App 20180226485 - JangJian; Shiu-Ko ;   et al.
2018-08-09
Antifuse Array and Method of Forming Antifuse Using Anodic Oxidation
App 20180219019 - Hwu; Jenn-Gwo ;   et al.
2018-08-02
Systems and methods for fabricating FinFETs with different threshold voltages
Grant 10,037,991 - Liu , et al. July 31, 2
2018-07-31
Semiconductor device and method of forming the same
Grant 10,032,873 - Chang , et al. July 24, 2
2018-07-24
Metal gate stack having TaAlCN layer
Grant 10,032,634 - Jangjian , et al. July 24, 2
2018-07-24
Fin Spacer Protected Source and Drain Regions in FinFETs
App 20180197782 - Ching; Kuo-Cheng ;   et al.
2018-07-12
Method of Forming a Fin Structure of Semiconductor Device
App 20180197783 - Ching; Kuo-Cheng ;   et al.
2018-07-12
Devices Including Gate Spacer with Gap or Void and Methods of Forming the Same
App 20180190796 - Ching; Kuo-Cheng ;   et al.
2018-07-05
Semiconductor Device and Manufacturing Method Thereof
App 20180182673 - Li; Chih-Sheng ;   et al.
2018-06-28
3D Capacitor and Method of Manufacturing Same
App 20180175164 - Liu; Chi-Wen ;   et al.
2018-06-21
Finfet Devices And Methods Of Forming
App 20180174918 - Ching; Kuo-Cheng ;   et al.
2018-06-21
FinFET structure with different fin heights and method for forming the same
Grant 10,002,765 - Huang , et al. June 19, 2
2018-06-19
Formation of nickel silicon and nickel germanium structure at staggered times
Grant 9,997,415 - Liu , et al. June 12, 2
2018-06-12
FINFETs with wrap-around silicide and method forming the same
Grant 9,991,388 - Ching , et al. June 5, 2
2018-06-05
Multi-Fin Device and Method of Making Same
App 20180151566 - Liu; Chi-Wen ;   et al.
2018-05-31
Semiconductor Device with Transition Metal Dichalocogenide Hetero-Structure
App 20180151752 - Lin; Shih-Yen ;   et al.
2018-05-31
FinFET with buried insulator layer and method for forming
Grant 9,978,870 - Ching , et al. May 22, 2
2018-05-22
Vertical Gate All Around (VGAA) Devices and Methods of Manufacturing the Same
App 20180138282 - Chang; Chia-Hao ;   et al.
2018-05-17
Stacked Gate-All-Around FinFET and method forming the same
Grant 9,966,471 - Ching , et al. May 8, 2
2018-05-08
Wrap Around Silicide for FinFETs
App 20180122941 - Ching; Kuo-Cheng ;   et al.
2018-05-03
Field Effect Transistor Using Transition Metal Dichalcogenide And A Method For Manufacturing The Same
App 20180114839 - WU; Yuh-Renn ;   et al.
2018-04-26
Antifuse array and method of forming antifuse using anodic oxidation
Grant 9,953,989 - Hwu , et al. April 24, 2
2018-04-24
Semiconductor Device and Method of Forming the Same
App 20180108742 - Chang; Chia-Ming ;   et al.
2018-04-19
Structure and method for nFET with high k metal gate
Grant 9,947,528 - Zhu , et al. April 17, 2
2018-04-17
Method of forming fin structure of semiconductor device
Grant 9,947,587 - Ching , et al. April 17, 2
2018-04-17
Metal gate scheme for device and methods of forming
Grant 9,941,376 - JangJian , et al. April 10, 2
2018-04-10
FinFET Device and Method of Fabricating Same
App 20180097097 - Ching; Kuo-Cheng ;   et al.
2018-04-05
Fin spacer protected source and drain regions in FinFETs
Grant 9,935,011 - Ching , et al. April 3, 2
2018-04-03
Strained Nanowire CMOS Device and Method of Forming
App 20180090570 - Peng; Cheng-Yi ;   et al.
2018-03-29
FinFETs with Strained Well Regions
App 20180083103 - Lee; Yi-Jing ;   et al.
2018-03-22
Source/drain regions for fin field effect transistors and methods of forming same
Grant 9,923,094 - Ching , et al. March 20, 2
2018-03-20
Smart Phone With A Text Recognition Module
App 20180077274 - LIU; Chi-Wen ;   et al.
2018-03-15
Devices including gate spacer with gap or void and methods of forming the same
Grant 9,917,178 - Ching , et al. March 13, 2
2018-03-13
Semiconductor Device And Manufacturing Method Thereof
App 20180069114 - CHIEN; Chao-Hsin ;   et al.
2018-03-08
FETs and Methods for Forming the Same
App 20180061988 - Huang; Yu-Lien ;   et al.
2018-03-01
Method Of Manufacturing A Semiconductor Device
App 20180061642 - CHIEN; Chao-Hsin ;   et al.
2018-03-01
Semiconductor device and manufacturing method thereof
Grant 9,905,467 - Li , et al. February 27, 2
2018-02-27
Communication method for a smart phone with a text recognition module
Grant 9,906,634 - Liu , et al. February 27, 2
2018-02-27
Semiconductor device with transition metal dichalocogenide hetero-structure
Grant 9,899,537 - Lin , et al. February 20, 2
2018-02-20
Vertical gate all around (VGAA) devices and methods of manufacturing the same
Grant 9,899,489 - Chang , et al. February 20, 2
2018-02-20
3D capacitor and method of manufacturing same
Grant 9,893,163 - Liu , et al. February 13, 2
2018-02-13
Multi-Fin device and method of making same
Grant 9,893,061 - Liu , et al. February 13, 2
2018-02-13
FINFET Structure and Method for Fabricating the Same
App 20180040720 - Ching; Kuo-Cheng ;   et al.
2018-02-08
FINFETS with Wrap-Around Silicide and Method Forming the Same
App 20180040738 - Ching; Kuo-Cheng ;   et al.
2018-02-08
FinFET devices and methods of forming
Grant 9,887,137 - Ching , et al. February 6, 2
2018-02-06
FinFETs and methods for forming the same
Grant 9,887,274 - Huang , et al. February 6, 2
2018-02-06
FETS and Methods of Forming FETS
App 20180026038 - Ching; Kuo-Cheng ;   et al.
2018-01-25
Wrap around silicide for FinFETs
Grant 9,876,108 - Ching , et al. January 23, 2
2018-01-23
Semiconductor Device Including Field Effect Transistor And A Method For Fabricating The Same
App 20180019326 - LU; Fang-Liang ;   et al.
2018-01-18
Field-Effect Transistors Having Contacts To 2D Material Active Region
App 20180012962 - Yeh; Ling-Yen ;   et al.
2018-01-11
Metallic Channel Device And Manufacturing Method Thereof
App 20180013012 - CHEN; Miin-Jang ;   et al.
2018-01-11
Isolation Structure of Fin Field Effect Transistor
App 20180012977 - Ching; Kuo-Cheng ;   et al.
2018-01-11
A Field Effect Transistor Using Transition Metal Dichalcogenide And A Method For Forming The Same
App 20180005824 - LIN; Shih-Yen ;   et al.
2018-01-04
FinFETs with strained well regions
Grant 9,859,380 - Lee , et al. January 2, 2
2018-01-02
FinFET device and method of fabricating same
Grant 9,859,429 - Ching , et al. January 2, 2
2018-01-02
FinFETs with Strained Well Regions
App 20170373190 - Lee; Yi-Jing ;   et al.
2017-12-28
Strained nanowire CMOS device and method of forming
Grant 9,853,101 - Peng , et al. December 26, 2
2017-12-26
Vertical tunneling field-effect transistor cell and fabricating the same
Grant 9,853,125 - Chuang , et al. December 26, 2
2017-12-26
Negative Capacitance Field Effect Transistor
App 20170365719 - Chen; Miin-Jang ;   et al.
2017-12-21
Self-aligned Contact And Manufacturing Method Thereof
App 20170365674 - Lee; Tung Ying ;   et al.
2017-12-21
Interconnection Structure, Fabricating Method Thereof, and Semiconductor Device Using the Same
App 20170358531 - Lin; Yu-Hung ;   et al.
2017-12-14
Semiconductor device and manufacturing method thereof
Grant 9,837,538 - Chien , et al. December 5, 2
2017-12-05
Semiconductor device and formation thereof
Grant 9,837,537 - Ching , et al. December 5, 2
2017-12-05
Semiconductor Device with Transition Metal Dichalocogenide Hetero-Structure
App 20170345944 - Lin; Shih-Yen ;   et al.
2017-11-30
Semiconductor Device and Manufacturing Method Thereof
App 20170330959 - Li; Chih-Sheng ;   et al.
2017-11-16
FETs and methods for forming the same
Grant 9,818,878 - Huang , et al. November 14, 2
2017-11-14
Integrated Circuit Structure and Method with Solid Phase Diffusion
App 20170323943 - Peng; Cheng-Yi ;   et al.
2017-11-09
Methods of forming an interconnect structure using a self-ending anodic oxidation
Grant 9,812,395 - Hwu , et al. November 7, 2
2017-11-07
One-dimensional Nanostructure Growth On Graphene And Devices Thereof
App 20170317181 - YANG; Che-Wei ;   et al.
2017-11-02
High-K Dielectric and Method of Manufacture
App 20170317182 - Huang; I-Chen ;   et al.
2017-11-02
FinFET structure and method for fabricating the same
Grant 9,806,178 - Ching , et al. October 31, 2
2017-10-31
FinFET device and method of manufacturing same
Grant 9,806,076 - Liu , et al. October 31, 2
2017-10-31
Metal gate of gate-all-around transistor
Grant 9,786,774 - Colinge , et al. October 10, 2
2017-10-10
Passivated and Faceted for Fin Field Effect Transistor
App 20170278971 - Chen; Yen-Yu ;   et al.
2017-09-28
Semiconductor Device And Manufacturing Method Thereof
App 20170278962 - CHIEN; Chao-Hsin ;   et al.
2017-09-28
Semiconductor Device And Method Of Manufacturing Thereof
App 20170278968 - LAN; Huang-Siang ;   et al.
2017-09-28
Isolation structure of fin field effect transistor
Grant 9,773,892 - Ching , et al. September 26, 2
2017-09-26
Method for fabricating a fine structure
Grant 9,773,662 - Chen , et al. September 26, 2
2017-09-26
FETs and methods of forming FETs
Grant 9,773,786 - Ching , et al. September 26, 2
2017-09-26
Method of Fabricating a Semiconductor Device Having Modified Profile Metal Gate
App 20170271469 - Huang; Yu-Lien ;   et al.
2017-09-21
Gate contact structure of FinFET
Grant 9,761,677 - Liu , et al. September 12, 2
2017-09-12
FinFET with dummy gate on non-recessed shallow trench isolation (STI)
Grant 9,754,842 - Liu , et al. September 5, 2
2017-09-05
FinFETs with strained well regions
Grant 9,748,142 - Lee , et al. August 29, 2
2017-08-29
Semiconductor Device and Method of Forming the Same
App 20170243976 - Chang; Chia-Ming ;   et al.
2017-08-24
Strained channel of gate-all-around transistor
Grant 9,741,810 - Ching , et al. August 22, 2
2017-08-22
Interconnection structure, fabricating method thereof, and semiconductor device using the same
Grant 9,721,896 - Lin , et al. August 1, 2
2017-08-01
Semiconductor device and manufacturing method thereof
Grant 9,722,050 - Li , et al. August 1, 2
2017-08-01
Finfet Gate Structure And Method For Fabricating The Same
App 20170213770 - JangJian; Shiu-Ko ;   et al.
2017-07-27
Integrated circuit structure and method with solid phase diffusion
Grant 9,716,146 - Peng , et al. July 25, 2
2017-07-25
Semiconductor device including a semiconductor sheet unit interconnecting a source and a drain
Grant 9,711,595 - Wu , et al. July 18, 2
2017-07-18
One-dimensional nanostructure growth on graphene and devices thereof
Grant 9,711,607 - Yang , et al. July 18, 2
2017-07-18
FETS and methods of forming FETS
Grant 9,704,883 - Wang , et al. July 11, 2
2017-07-11
Semiconductor Device and Method
App 20170194470 - Fan; Sheng-Ting ;   et al.
2017-07-06
Silicide region of gate-all-around transistor
Grant 9,691,621 - Ching , et al. June 27, 2
2017-06-27
FINFETS with Wrap-Around Silicide and Method Forming the Same
App 20170179301 - Ching; Kuo-Cheng ;   et al.
2017-06-22
FinFETs with Strained Well Regions
App 20170179291 - Lee; Yi-Jing ;   et al.
2017-06-22
Contact etch stop layers of a field effect transistor
Grant 9,685,369 - Liu , et al. June 20, 2
2017-06-20
Integrated Circuit Structure And Method With Solid Phase Diffusion
App 20170170278 - Peng; Cheng-Yi ;   et al.
2017-06-15
Passivated and faceted fin field effect transistor
Grant 9,680,021 - Chen , et al. June 13, 2
2017-06-13
Semiconductor device having modified profile metal gate
Grant 9,673,292 - Huang , et al. June 6, 2
2017-06-06
Semiconductor device and method of manufacture
Grant 9,666,441 - Kao , et al. May 30, 2
2017-05-30
Devices Including Gate Spacer with Gap or Void and Methods of Forming the Same
App 20170141215 - Ching; Kuo-Cheng ;   et al.
2017-05-18
Source/drain Regions For Fin Field Effect Transistors And Methods Of Forming Same
App 20170133508 - Ching; Kuo-Cheng ;   et al.
2017-05-11
Semiconductor device and method of forming the same
Grant 9,647,122 - Chang , et al. May 9, 2
2017-05-09
Finfet Gate Structure And Method For Fabricating The Same
App 20170125537 - JANGJIAN; Shiu-Ko ;   et al.
2017-05-04
Vertical Tunneling Field-effect Transistor Cell And Fabricating The Same
App 20170110559 - Chuang; Harry-Hak-Lay ;   et al.
2017-04-20
Strained Nanowire Cmos Device And Method Of Forming
App 20170104061 - Peng; Cheng-Yi ;   et al.
2017-04-13
FinFET gate structure and method for fabricating the same
Grant 9,620,610 - Jangjian , et al. April 11, 2
2017-04-11
Interconnection Structure, Fabricating Method Thereof, And Semiconductor Device Using The Same
App 20170098613 - LIN; Yu-Hung ;   et al.
2017-04-06
FINFET Structure and Method for Fabricating the Same
App 20170092746 - Ching; Kuo-Cheng ;   et al.
2017-03-30
Pressure Sensing Device
App 20170089775 - Hsu; Chia-Ming ;   et al.
2017-03-30
FINFETs with wrap-around silicide and method forming the same
Grant 9,608,116 - Ching , et al. March 28, 2
2017-03-28
FinFETs with strained well regions
Grant 9,601,342 - Lee , et al. March 21, 2
2017-03-21
Semiconductor Device And Method Of Forming The Same
App 20170077305 - CHANG; Chia-Ming ;   et al.
2017-03-16
Interconnection Structure, Fabricating Method Thereof, And Semiconductor Device Using The Same
App 20170077033 - LIN; Yu-Hung ;   et al.
2017-03-16
Interconnection Structure, Fabricating Method Thereof, And Semiconductor Device Using The Same
App 20170077032 - LIN; Yu-Hung ;   et al.
2017-03-16
Semiconductor Device And Method Of Forming The Same
App 20170077244 - CHANG; Chia-Ming ;   et al.
2017-03-16
Semiconductor Device And Fabricating Method Thereof
App 20170069621 - Huang; Cheng-Chien ;   et al.
2017-03-09
Semiconductor Device And Manufacturing Method Thereof
App 20170069539 - LI; Chih-Sheng ;   et al.
2017-03-09
Semiconductor Device And Manufacturing Method Thereof
App 20170069504 - LI; Chih-Sheng ;   et al.
2017-03-09
Formation of Nickel Silicon and Nickel Germanium Structure at Staggered Times
App 20170069544 - Liu; Chi-Wen ;   et al.
2017-03-09
Finfet Device And Fabricating Method Thereof
App 20170069757 - Peng; Yen-Ming ;   et al.
2017-03-09
Innovative Approach Of 4f2 Driver Formation For High-density Rram And Mram
App 20170062525 - Ting; Yu-Wei ;   et al.
2017-03-02
Semiconductor device structure and method for forming the same
Grant 9,577,049 - Lin , et al. February 21, 2
2017-02-21
Interconnection structure, fabricating method thereof, and semiconductor device using the same
Grant 9,576,908 - Lin , et al. February 21, 2
2017-02-21
Source/drain regions for fin field effect transistors and methods of forming same
Grant 9,577,101 - Ching , et al. February 21, 2
2017-02-21
Devices including gate spacer with gap or void and methods of forming the same
Grant 9,559,184 - Ching , et al. January 31, 2
2017-01-31
Communication Method For A Smart Phone With A Text Recognition Module
App 20170026502 - LIU; Chi Wen ;   et al.
2017-01-26
Selective Fin-shaping process
Grant 9,553,025 - Wann , et al. January 24, 2
2017-01-24
Semiconductor Device and Method of Manufacture
App 20170018435 - Kao; Cheng-Heng ;   et al.
2017-01-19
Method of Forming Fin Structure of Semiconductor Device
App 20170005004 - Ching; Kuo-Cheng ;   et al.
2017-01-05
FINFET Devices and Methods of Forming
App 20170005011 - Ching; Kuo-Cheng ;   et al.
2017-01-05
Fin structure of semiconductor device
Grant 9,536,772 - Chen , et al. January 3, 2
2017-01-03
Vertical tunneling field-effect transistor cell and fabricating the same
Grant 9,536,977 - Chuang , et al. January 3, 2
2017-01-03
Vertical gate all around (VGAA) devices and methods of manufacturing the same
Grant 9,536,738 - Huang , et al. January 3, 2
2017-01-03
FETS and Methods of Forming FETS
App 20160365362 - Wang; Chih-Hao ;   et al.
2016-12-15
Devices Including Gate Spacer With Gap Or Void And Methods Of Forming The Same
App 20160365426 - Ching; Kuo-Cheng ;   et al.
2016-12-15
Wrap Around Silicide for FinFETs
App 20160365345 - Ching; Kuo-Cheng ;   et al.
2016-12-15
FinFET structure and method for fabricating the same
Grant 9,520,498 - Ching , et al. December 13, 2
2016-12-13
Innovative approach of 4F2 driver formation for high-density RRAM and MRAM
Grant 9,520,446 - Ting , et al. December 13, 2
2016-12-13
Formation of nickel silicon and nickel germanium structure at staggered times
Grant 9,508,603 - Liu , et al. November 29, 2
2016-11-29
FinFet device with channel epitaxial region
Grant 9,496,397 - Ching , et al. November 15, 2
2016-11-15
Fets And Methods Of Forming Fets
App 20160322358 - Ching; Kuo-Cheng ;   et al.
2016-11-03
Buffer Layer on Gate and Methods of Forming the Same
App 20160322473 - JangJian; Shiu-Ko ;   et al.
2016-11-03
Metal Gate Scheme for Device and Methods of Forming
App 20160322471 - JangJian; Shiu-Ko ;   et al.
2016-11-03
Gate Contact Structure of FinFET
App 20160300720 - Liu; Chi-Wen ;   et al.
2016-10-13
FETs and methods of forming FETs
Grant 9,461,110 - Wang , et al. October 4, 2
2016-10-04
FinFETs with Strained Well Regions
App 20160284848 - Lee; Yi-Jing ;   et al.
2016-09-29
Method of forming a Fin structure of semiconductor device
Grant 9,455,334 - Ching , et al. September 27, 2
2016-09-27
Silicide Region of Gate-All-Around Transistor
App 20160276160 - Ching; Kuo-Cheng ;   et al.
2016-09-22
FinFET devices and methods of forming
Grant 9,449,975 - Ching , et al. September 20, 2
2016-09-20
Source/drain Regions For Fin Field Effect Transistors And Methods Of Forming Same
App 20160268434 - Ching; Kuo-Cheng ;   et al.
2016-09-15
FETs and Methods for Forming the Same
App 20160254384 - Huang; Yu-Lien ;   et al.
2016-09-01
Metal Gate Stack Having TaAlCN Layer
App 20160254157 - JANGJIAN; SHIU-KO ;   et al.
2016-09-01
FinFETs and Methods for Forming the Same
App 20160247900 - Huang; Yu-Lien ;   et al.
2016-08-25
FinFET Device and Method of Manufacturing Same
App 20160240531 - Liu; Chi-Wen ;   et al.
2016-08-18
FINFETS with Wrap-Around Silicide and Method Forming the Same
App 20160240652 - Ching; Kuo-Cheng ;   et al.
2016-08-18
Vertical Gate All Around (vgaa) Devices And Methods Of Manufacturing The Same
App 20160240623 - Chang; Chia-Hao ;   et al.
2016-08-18
Vertical Gate All Around (VGAA) Devices and Methods of Manufacturing the Same
App 20160240378 - Huang; Yu-Lien ;   et al.
2016-08-18
Stacked Gate-All-Around FinFET and Method Forming the Same
App 20160240681 - Ching; Kuo-Cheng ;   et al.
2016-08-18
Vertical Gate All Around (VGAA) Devices and Methods of Manufacturing the Same
App 20160240626 - Chang; Chia-Hao ;   et al.
2016-08-18
Wrap around silicide for FinFETs
Grant 9,418,897 - Ching , et al. August 16, 2
2016-08-16
Semiconductor Device Including A Semiconductor Sheet Unit Interconnecting A Source And A Drain
App 20160233302 - WU; JIUN-PENG ;   et al.
2016-08-11
FinFET with Dummy Gate on Non-Recessed Shallow Trench Isolation (STI)
App 20160233133 - Liu; Chi-Wen ;   et al.
2016-08-11
Integrated Circuit Having a MOM Capacitor and Method of Making Same
App 20160233213 - Liu; Chi-Wen ;   et al.
2016-08-11
Multi-Fin Device and Method of Making Same
App 20160225765 - Liu; Chi-Wen ;   et al.
2016-08-04
Method and structure for vertical tunneling field effect transistor and planar devices
Grant 9,406,669 - Chuang , et al. August 2, 2
2016-08-02
FinFET Structure with Different Fin Heights and Method for Forming the Same
App 20160211138 - Huang; Yu-Lien ;   et al.
2016-07-21
Silicide region of gate-all-around transistor
Grant 9,397,159 - Ching , et al. July 19, 2
2016-07-19
Contact Etch Stop Layers of a Field Effect Transistor
App 20160204026 - Liu; Chi-Wen ;   et al.
2016-07-14
FinFETs with strained well regions
Grant 9,385,234 - Lee , et al. July 5, 2
2016-07-05
Gate contact structure for FinFET
Grant 9,385,069 - Liu , et al. July 5, 2
2016-07-05
Contact structure of semiconductor device
Grant 9,379,108 - Wann , et al. June 28, 2
2016-06-28
Isolation Structure of Fin Field Effect Transistor
App 20160172470 - Ching; Kuo-Cheng ;   et al.
2016-06-16
FETs and methods for forming the same
Grant 9,362,386 - Huang , et al. June 7, 2
2016-06-07
Passivated and Faceted for Fin Field Effect Transistor
App 20160155846 - Chen; Yen-Yu ;   et al.
2016-06-02
FinFETs and methods for forming the same
Grant 9,349,841 - Huang , et al. May 24, 2
2016-05-24
FinFET with dummy gate on non-recessed shallow trench isolation (STI)
Grant 9,337,318 - Liu , et al. May 10, 2
2016-05-10
Semiconductor device including a semiconductor sheet unit interconnecting a source and a drain
Grant 9,337,263 - Wu , et al. May 10, 2
2016-05-10
Metal gate stack having TiAICN as work function layer and/or blocking/wetting layer
Grant 9,337,303 - Jangjian , et al. May 10, 2
2016-05-10
Metal gate stack having TaAlCN layer
Grant 9,337,192 - Jangjian , et al. May 10, 2
2016-05-10
Metal gate and gate contact structure for FinFET
Grant 9,331,179 - Liu , et al. May 3, 2
2016-05-03
Systems and methods for fabricating semiconductor devices at different levels
Grant 9,331,075 - Liu , et al. May 3, 2
2016-05-03
Integrated circuit having a MOM capacitor and method of making same
Grant 9,318,431 - Liu , et al. April 19, 2
2016-04-19
FinFET structure with different fin heights and method for forming the same
Grant 9,318,367 - Huang , et al. April 19, 2
2016-04-19
Contact etch stop layers of a field effect transistor
Grant 9,312,354 - Liu , et al. April 12, 2
2016-04-12
Multi-fin device and method of making same
Grant 9,312,363 - Liu , et al. April 12, 2
2016-04-12
Low-k Interconnect Structure And Forming Method Thereof
App 20160099172 - HWU; JENN-GWO ;   et al.
2016-04-07
Isolation structure of fin field effect transistor
Grant 9,306,069 - Ching , et al. April 5, 2
2016-04-05
FinFET with Buried Insulator Layer and Method for Forming
App 20160087103 - Ching; Kuo-Cheng ;   et al.
2016-03-24
Semiconductor Device Having Modified Profile Metal Gate
App 20160079383 - Huang; Yu-Lien ;   et al.
2016-03-17
Silicide Region of Gate-All-Around Transistor
App 20160079361 - Ching; Kuo-Cheng ;   et al.
2016-03-17
Passivated and faceted for fin field effect transistor
Grant 9,287,262 - Chen , et al. March 15, 2
2016-03-15
Multi-fin device and method of making same
Grant 9,287,385 - Liu , et al. March 15, 2
2016-03-15
Vertical Tunneling Field-effect Transistor Cell And Fabricating The Same
App 20160064524 - Chuang; Harry-Hak-Lay ;   et al.
2016-03-03
Formation Of Nickel Silicon And Nickel Germanium Structure At Staggered Times
App 20160064287 - LIU; CHI-WEN ;   et al.
2016-03-03
FinFET device and method of manufacturing same
Grant 9,269,632 - Liu , et al. February 23, 2
2016-02-23
Systems and Methods for Fabricating Semiconductor Devices at Different Levels
App 20160049396 - Liu; CHI-WEN ;   et al.
2016-02-18
Strained Channel of Gate-All-Around Transistor
App 20160035849 - Ching; Kuo-Cheng ;   et al.
2016-02-04
FinFETs with gradient germanium-containing channels
Grant 9,245,882 - Ching , et al. January 26, 2
2016-01-26
Fin Spacer Protected Source and Drain Regions in FinFETs
App 20160005656 - Ching; Kuo-Cheng ;   et al.
2016-01-07
Metal Gate of Gate-All-Around Transistor
App 20150380539 - Colinge; Jean-Pierre ;   et al.
2015-12-31
FinFETs with Strained Well Regions
App 20150380528 - Lee; Yi-Jing ;   et al.
2015-12-31
Semiconductor Device Including A Semiconductor Sheet Unit Interconnecting A Source And A Drain
App 20150372082 - WU; JIUN-PENG ;   et al.
2015-12-24
Fin Structure of Semiconductor Device
App 20150372120 - Ching; Kuo-Cheng ;   et al.
2015-12-24
Structure And Method For Vertical Tunneling Field Effect Transistor With Leveled Source And Drain
App 20150357445 - Chuang; Harry-Hak-Lay ;   et al.
2015-12-10
Formation of nickel silicon and nickel germanium structure at staggered times
Grant 9,209,252 - Liu , et al. December 8, 2
2015-12-08
Semiconductor device having modified profile metal gate
Grant 9,202,691 - Huang , et al. December 1, 2
2015-12-01
FinFET with buried insulator layer and method for forming
Grant 9,196,522 - Ching , et al. November 24, 2
2015-11-24
Fin Structure of Semiconductor Device
App 20150311111 - Chen; Chung-Hsien ;   et al.
2015-10-29
Antifuse Array And Method Of Forming Antifuse Using Anodic Oxidation
App 20150279846 - HWU; JENN-GWO ;   et al.
2015-10-01
FINFET Structure and Method for Fabricating the Same
App 20150263159 - Ching; Kuo-Cheng ;   et al.
2015-09-17
Contact Etch Stop Layers of a Field Effect Transistor
App 20150243751 - Liu; Chi-Wen ;   et al.
2015-08-27
Contact Structure of Semiconductor Device
App 20150236016 - Wann; Clement Hsingjen ;   et al.
2015-08-20
Semiconductor Device And Formation Thereof
App 20150236114 - Ching; Kuo-Cheng ;   et al.
2015-08-20
Systems And Methods For Fabricating Finfets With Different Threshold Voltages
App 20150194426 - LIU; CHI-WEN ;   et al.
2015-07-09
Metal Gate and Gate Contact Structure for FinFET
App 20150179756 - Liu; Chi-Wen ;   et al.
2015-06-25
FinFETs and Methods for Forming the Same
App 20150171187 - Huang; Yu-Lien ;   et al.
2015-06-18
Formation Of Nickel Silicon And Nickel Germanium Structure At Staggered Times
App 20150171166 - LIU; CHI-WEN ;   et al.
2015-06-18
Fin Structure of Semiconductor Device
App 20150144998 - Ching; Kuo-Cheng ;   et al.
2015-05-28
Selective Fin-shaping Process
App 20150132911 - WANN; Clement Hsingjen ;   et al.
2015-05-14
Fin Spacer Protected Source and Drain Regions in FinFETs
App 20150108544 - Ching; Kuo-Cheng ;   et al.
2015-04-23
FinFET with Buried Insulator Layer and Method for Forming
App 20150102411 - Ching; Kuo-Cheng ;   et al.
2015-04-16
Passivated and Faceted for Fin Field Effect Transistor
App 20150102386 - Chen; Yen-Yu ;   et al.
2015-04-16
FinFETs with Gradient Germanium-Containing Channels
App 20150091099 - Ching; Kuo-Cheng ;   et al.
2015-04-02
Isolation Structure of Fin Field Effect Transistor
App 20150069474 - Ching; Kuo-Cheng ;   et al.
2015-03-12
Finfets With Strained Well Regions
App 20150054040 - Lee; Yi-Jing ;   et al.
2015-02-26

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed