loadpatents
name:-0.10073399543762
name:-0.078500032424927
name:-0.028013944625854
Lill; Thorsten Patent Filings

Lill; Thorsten

Patent Applications and Registrations

Patent applications and USPTO patent grants for Lill; Thorsten.The latest application filed is for "thermal atomic layer etch with rapid temperature cycling".

Company Profile
31.75.92
  • Lill; Thorsten - Kalaheo HI
  • Lill; Thorsten - Santa Clara CA
  • Lill; Thorsten - Fremont CA
  • Lill; Thorsten - Sunnyvale CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Thermal Atomic Layer Etch With Rapid Temperature Cycling
App 20220293431 - Panagopoulos; Theodoros ;   et al.
2022-09-15
Thermal atomic layer etch with rapid temperature cycling
Grant 11,380,556 - Panagopoulos , et al. July 5, 2
2022-07-05
Turbomolecular Pump And Cathode Assembly For Etching Reactor
App 20220186734 - Lill; Thorsten ;   et al.
2022-06-16
High Density, Controlled Integrated Circuits Factory
App 20220171370 - LILL; Thorsten ;   et al.
2022-06-02
Plasma Etch Tool For High Aspect Ratio Etching
App 20220165546 - Lill; Thorsten ;   et al.
2022-05-26
Ion Beam Etching With Sidewall Cleaning
App 20220131071 - Lill; Thorsten ;   et al.
2022-04-28
Conformal Damage-free Encapsulation Of Chalcogenide Materials
App 20220115592 - Sims; James Samuel ;   et al.
2022-04-14
Ion beam etching utilizing cryogenic wafer temperatures
Grant 11,289,306 - Lill , et al. March 29, 2
2022-03-29
Resistive Random Access Memory With Preformed Filaments
App 20220069218 - YOON; Hyungsuk ;   et al.
2022-03-03
Conformal damage-free encapsulation of chalcogenide materials
Grant 11,239,420 - Sims , et al. February 1, 2
2022-02-01
Self-aligned Vertical Integration Of Three-terminal Memory Devices
App 20210391355 - LILL; Thorsten ;   et al.
2021-12-16
Internal plasma grid for semiconductor fabrication
Grant 11,171,021 - Singh , et al. November 9, 2
2021-11-09
Electron Excitation Atomic Layer Etch
App 20210280433 - Berry, III; Ivan L. ;   et al.
2021-09-09
Ion injector and lens system for ion beam milling
Grant 11,062,920 - Berry, III , et al. July 13, 2
2021-07-13
Method and apparatus for determining process rate
Grant 11,056,322 - Kabouzi , et al. July 6, 2
2021-07-06
Ion beam etch without need for wafer tilt or rotation
Grant 10,998,167 - Berry, III , et al. May 4, 2
2021-05-04
Thermal Atomic Layer Etch With Rapid Temperature Cycling
App 20210104414 - Panagopoulos; Theo ;   et al.
2021-04-08
Ion beam etch without need for wafer tilt or rotation
Grant 10,825,652 - Berry, III , et al. November 3, 2
2020-11-03
Active showerhead
Grant 10,804,079 - Gregor , et al. October 13, 2
2020-10-13
Atomic Layer Etching Methods And Apparatus
App 20200312670 - Smith; David ;   et al.
2020-10-01
Atomic layer etching using a combination of plasma and vapor treatments
Grant 10,784,118 - Fischer , et al. Sept
2020-09-22
Cobalt etch back
Grant 10,784,086 - Yang , et al. Sept
2020-09-22
Dry plasma etch method to pattern MRAM stack
Grant 10,749,103 - Tan , et al. A
2020-08-18
Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
Grant 10,727,073 - Tan , et al.
2020-07-28
Atomic layer etching methods and apparatus
Grant 10,692,724 - Smith , et al.
2020-06-23
Integrating Atomic Scale Processes: Ald (atomic Layer Deposition) And Ale (atomic Layer Etch)
App 20200161139 - Kanarik; Keren Jacobs ;   et al.
2020-05-21
Differentially pumped reactive gas injector
Grant 10,580,628 - Berry, III , et al.
2020-03-03
Conformal Damage-free Encapsulation Of Chalcogenide Materials
App 20200066987 - Sims; James Samuel ;   et al.
2020-02-27
Control of directionality in atomic layer etching
Grant 10,559,475 - Fischer , et al. Feb
2020-02-11
Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
Grant 10,515,816 - Kanarik , et al. Dec
2019-12-24
Active Showerhead
App 20190371573 - Gregor; Mariusch ;   et al.
2019-12-05
Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
Grant 10,497,544 - Singh , et al. De
2019-12-03
Use of ion beam etching to generate gate-all-around structure
Grant 10,483,085 - Berry, III , et al. Nov
2019-11-19
Predicting Etch Characteristics In Thermal Etching And Atomic Layer Etching
App 20190340316 - Lill; Thorsten ;   et al.
2019-11-07
Dry Plasma Etch Method To Pattern Mram Stack
App 20190312194 - Tan; Samantha ;   et al.
2019-10-10
Controlling ion energy within a plasma chamber
Grant 10,424,461 - Lill , et al. Sept
2019-09-24
Active showerhead
Grant 10,403,476 - Gregor , et al. Sep
2019-09-03
Dry plasma etch method to pattern MRAM stack
Grant 10,374,144 - Tan , et al.
2019-08-06
Ion Beam Etch Without Need For Wafer Tilt Or Rotation
App 20190237298 - Berry, III; Ivan L. ;   et al.
2019-08-01
Ceramic article with reduced surface defect density
Grant 10,336,656 - Duan , et al.
2019-07-02
Atomic Layer Etching Using A Combination Of Plasma And Vapor Treatments
App 20190198345 - Fischer; Andreas ;   et al.
2019-06-27
Ale smoothness: in and outside semiconductor industry
Grant 10,304,659 - Kanarik , et al.
2019-05-28
Control Of Directionality In Atomic Layer Etching
App 20190157105 - Fischer; Andreas ;   et al.
2019-05-23
Integrating Atomic Scale Processes: Ald (atomic Layer Deposition) And Ale (atomic Layer Etch)
App 20190139778 - Kanarik; Keren Jacobs ;   et al.
2019-05-09
Atomic layer etching of AL.sub.2O.sub.3 using a combination of plasma and vapor treatments
Grant 10,256,108 - Fischer , et al.
2019-04-09
Controlling Ion Energy Within A Plasma Chamber
App 20190103253 - Lill; Thorsten ;   et al.
2019-04-04
Wet-dry integrated wafer processing system
Grant 10,249,521 - Lill , et al.
2019-04-02
High aspect ratio etch of oxide metal oxide metal stack
Grant 10,242,883 - Guha , et al.
2019-03-26
Control of directionality in atomic layer etching
Grant 10,229,837 - Fischer , et al.
2019-03-12
Internal plasma grid for semiconductor fabrication
Grant 10,224,221 - Singh , et al.
2019-03-05
Integrating atomic scale processes: ALD (atomic layer deposition) and ale (atomic layer etch)
Grant 10,186,426 - Kanarik , et al. Ja
2019-01-22
High Aspect Ratio Etch Of Oxide Metal Oxide Metal Stack
App 20180374712 - GUHA; Joydeep ;   et al.
2018-12-27
Control Of Directionality In Atomic Layer Etching
App 20180366343 - Fischer; Andreas ;   et al.
2018-12-20
Controlling ion energy within a plasma chamber
Grant 10,141,163 - Lill , et al. Nov
2018-11-27
Atomic layer etching of tungsten and other metals
Grant 10,096,487 - Yang , et al. October 9, 2
2018-10-09
Control Of Directionality In Atomic Layer Etching
App 20180247832 - Fischer; Andreas ;   et al.
2018-08-30
Systems And Methods For Patterning Of High Density Standalone Mram Devices
App 20180233662 - Berry, III; Ivan L. ;   et al.
2018-08-16
Ale Smoothness: In And Outside Semiconductor Industry
App 20180233325 - Kanarik; Keren Jacobs ;   et al.
2018-08-16
Chamber For Patterning Non-volatile Metals
App 20180204738 - Shen; Meihua ;   et al.
2018-07-19
Atomic Layer Etching Methods And Apparatus
App 20180182634 - Smith; David ;   et al.
2018-06-28
Ion Injector And Lens System For Ion Beam Milling
App 20180166304 - Berry, III; Ivan L. ;   et al.
2018-06-14
ALE smoothness: in and outside semiconductor industry
Grant 9,984,858 - Kanarik , et al. May 29, 2
2018-05-29
Active Showerhead
App 20180130640 - Gregor; Mariusch ;   et al.
2018-05-10
Chamber for patterning non-volatile metals
Grant 9,953,843 - Shen , et al. April 24, 2
2018-04-24
Cobalt Etch Back
App 20180102236 - Yang; Jialing ;   et al.
2018-04-12
Ion injector and lens system for ion beam milling
Grant 9,916,993 - Berry, III , et al. March 13, 2
2018-03-13
Differentially Pumped Reactive Gas Injector
App 20180047548 - Berry, III; Ivan L. ;   et al.
2018-02-15
Integrating Atomic Scale Processes: Ald (atomic Layer Deposition) And Ale (atomic Layer Etch)
App 20180033635 - Kanarik; Keren Jacobs ;   et al.
2018-02-01
Dry Plasma Etch Method To Pattern Mram Stack
App 20180019387 - Tan; Samantha ;   et al.
2018-01-18
Cobalt etch back
Grant 9,870,899 - Yang , et al. January 16, 2
2018-01-16
Ion Beam Etching Utilizing Cryogenic Wafer Temperatures
App 20170372911 - Lill; Thorsten ;   et al.
2017-12-28
Differentially pumped reactive gas injector
Grant 9,837,254 - Berry, III , et al. December 5, 2
2017-12-05
Method And Apparatus For Determining Process Rate
App 20170338160 - Kabouzi; Yassine ;   et al.
2017-11-23
Equipment front end module for transferring wafers and method of transferring wafers
Grant 9,818,633 - Lill , et al. November 14, 2
2017-11-14
Dry plasma etch method to pattern MRAM stack
Grant 9,806,252 - Tan , et al. October 31, 2
2017-10-31
Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
Grant 9,805,941 - Kanarik , et al. October 31, 2
2017-10-31
Ion beam etching utilizing cryogenic wafer temperatures
Grant 9,779,955 - Lill , et al. October 3, 2
2017-10-03
Wet-dry Integrated Wafer Processing System
App 20170271180 - LILL; Thorsten ;   et al.
2017-09-21
Atomic Layer Etching Of Al203 Using A Combination Of Plasma And Vapor Treatments
App 20170256416 - Fischer; Andreas ;   et al.
2017-09-07
Ion Beam Etching Utilizing Cryogenic Wafer Temperatures
App 20170250087 - Lill; Thorsten ;   et al.
2017-08-31
Method and apparatus for determining process rate
Grant 9,735,069 - Kabouzi , et al. August 15, 2
2017-08-15
Chamber For Patterning Non-volatile Metals
App 20170229317 - Shen; Meihua ;   et al.
2017-08-10
Atomic Layer Etching 3d Structures: Si And Sige And Ge Smoothness On Horizontal And Vertical Surfaces
App 20170229314 - Tan; Samantha ;   et al.
2017-08-10
Adjustment Of Vuv Emission Of A Plasma Via Collisional Resonant Energy Transfer To An Energy Absorber Gas
App 20170170036 - Fischer; Andreas ;   et al.
2017-06-15
High aspect ratio etch with combination mask
Grant 9,659,783 - Guha , et al. May 23, 2
2017-05-23
Integrating Atomic Scale Processes: Ald (atomic Layer Deposition) And Ale (atomic Layer Etch)
App 20170117159 - Kanarik; Keren Jacobs ;   et al.
2017-04-27
Dielectric repair for emerging memory devices
Grant 9,627,608 - Draeger , et al. April 18, 2
2017-04-18
Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
Grant 9,609,730 - Fischer , et al. March 28, 2
2017-03-28
Method And Apparatus For Determining Process Rate
App 20170084503 - Kabouzi; Yassine ;   et al.
2017-03-23
Residue free oxide etch
Grant 9,595,452 - Hsu , et al. March 14, 2
2017-03-14
Ale Smoothness: In And Outside Semiconductor Industry
App 20170069462 - Kanarik; Keren Jacobs ;   et al.
2017-03-09
Use Of Ion Beam Etching To Generate Gate-all-around Structure
App 20170062181 - Berry, III; Ivan L. ;   et al.
2017-03-02
Method for forming spacers for a transistor gate
Grant 9,583,339 - Posseme , et al. February 28, 2
2017-02-28
Atomic Layer Etching Of Tungsten And Other Metals
App 20170053810 - Yang; Wenbing ;   et al.
2017-02-23
Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
Grant 9,576,811 - Kanarik , et al. February 21, 2
2017-02-21
Method to etch copper barrier film
Grant 9,570,320 - Shen , et al. February 14, 2
2017-02-14
Method for integrating germanides in high performance integrated circuits
Grant 9,553,031 - Besser , et al. January 24, 2
2017-01-24
Use of ion beam etching to generate gate-all-around structure
Grant 9,536,748 - Berry, III , et al. January 3, 2
2017-01-03
Controlling Ion Energy Within A Plasma Chamber
App 20160379804 - Lill; Thorsten ;   et al.
2016-12-29
Component Of A Plasma Processing Apparatus Having A Protective In Situ Formed Layer On A Plasma Exposed Surface
App 20160365228 - Singh; Harmeet ;   et al.
2016-12-15
Residue Free Oxide Etch
App 20160351418 - HSU; Chih-Hsun ;   et al.
2016-12-01
Cobalt Etch Back
App 20160314985 - Yang; Jialing ;   et al.
2016-10-27
Ion Injector And Lens System For Ion Beam Milling
App 20160307781 - Berry, III; Ivan L. ;   et al.
2016-10-20
Dry Plasma Etch Method To Pattern Mram Stack
App 20160308112 - Tan; Samantha ;   et al.
2016-10-20
Method For Forming Spacers For A Transistor Gate
App 20160300709 - POSSEME; Nicolas ;   et al.
2016-10-13
Controlling ion energy within a plasma chamber
Grant 9,460,894 - Lill , et al. October 4, 2
2016-10-04
Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
Grant 9,449,797 - Singh , et al. September 20, 2
2016-09-20
Isotropic atomic layer etch for silicon and germanium oxides
Grant 9,431,268 - Lill , et al. August 30, 2
2016-08-30
Ion injector and lens system for ion beam milling
Grant 9,406,535 - Berry, III , et al. August 2, 2
2016-08-02
Internal Plasma Grid For Semiconductor Fabrication
App 20160203990 - Singh; Harmeet ;   et al.
2016-07-14
Integrating Atomic Scale Processes: Ald (atomic Layer Deposition) And Ale (atomic Layer Etch)
App 20160203995 - Kanarik; Keren Jacobs ;   et al.
2016-07-14
Method to etch non-volatile metal materials
Grant 9,391,267 - Shen , et al. July 12, 2
2016-07-12
Isotropic Atomic Layer Etch For Silicon And Germanium Oxides
App 20160196984 - Lill; Thorsten ;   et al.
2016-07-07
Internal Plasma Grid For Semiconductor Fabrication
App 20160181130 - Singh; Harmeet ;   et al.
2016-06-23
Internal Plasma Grid For Semiconductor Fabrication
App 20160141188 - Singh; Harmeet ;   et al.
2016-05-19
Adjustment Of Vuv Emission Of A Plasma Via Collisional Resonant Energy Transfer To An Energy Absorber Gas
App 20160135274 - Fischer; Andreas ;   et al.
2016-05-12
Sulfur doped carbon hard masks
Grant 9,320,387 - Reddy , et al. April 26, 2
2016-04-26
Use Of Ion Beam Etching To Generate Gate-all-around Structure
App 20160111294 - Berry, III; Ivan L. ;   et al.
2016-04-21
Equipment Front End Module For Transferring Wafers And Method Of Transferring Wafers
App 20160111309 - Lill; Thorsten ;   et al.
2016-04-21
Novel Method To Etch Copper Barrier Film
App 20160104630 - SHEN; Meihua ;   et al.
2016-04-14
Movable Gas Nozzle In Drying Module
App 20160086864 - Fischer; Andreas ;   et al.
2016-03-24
Dielectric Repair For Emerging Memory Devices
App 20160079521 - Draeger; Nerissa ;   et al.
2016-03-17
Ion Beam Etch Without Need For Wafer Tilt Or Rotation
App 20160064232 - Berry, III; Ivan L. ;   et al.
2016-03-03
Ion Injector And Lens System For Ion Beam Milling
App 20160064260 - Berry, III; Ivan L. ;   et al.
2016-03-03
Differentially Pumped Reactive Gas Injector
App 20160049281 - Berry, III; Ivan L. ;   et al.
2016-02-18
Methods of forming silicon nitride spacers
Grant 9,257,293 - Posseme , et al. February 9, 2
2016-02-09
Method to etch non-volatile metal materials
Grant 9,257,638 - Tan , et al. February 9, 2
2016-02-09
Internal plasma grid for semiconductor fabrication
Grant 9,245,761 - Singh , et al. January 26, 2
2016-01-26
Method To Etch Non-volatile Metal Materials
App 20150340603 - SHEN; Meihua ;   et al.
2015-11-26
Method To Etch Non-volatile Metal Materials
App 20150280113 - TAN; Samantha S.H. ;   et al.
2015-10-01
Method To Etch Non-volatile Metal Materials
App 20150280114 - SHEN; Meihua ;   et al.
2015-10-01
Method to etch non-volatile metal materials
Grant 9,130,158 - Shen , et al. September 8, 2
2015-09-08
Ceramic Article With Reduced Surface Defect Density
App 20150218057 - Duan; Ren-Guan ;   et al.
2015-08-06
High Aspect Ratio Etch With Combination Mask
App 20150200106 - GUHA; Joydeep ;   et al.
2015-07-16
Ceramic article with reduced surface defect density and process for producing a ceramic article
Grant 9,034,199 - Duan , et al. May 19, 2
2015-05-19
High aspect ratio etch with combination mask
Grant 9,018,103 - Guha , et al. April 28, 2
2015-04-28
Sulfur Doped Carbon Hard Masks
App 20150093915 - Reddy; Sirish K. ;   et al.
2015-04-02
High Aspect Ratio Etch With Combination Mask
App 20150087154 - GUHA; Joydeep ;   et al.
2015-03-26
Controlling Ion Energy Within A Plasma Chamber
App 20150002018 - Lill; Thorsten ;   et al.
2015-01-01
Component Of A Plasma Processing Apparatus Having A Protective In Situ Formed Layer On A Plasma Exposed Surface
App 20140335698 - Singh; Harmeet ;   et al.
2014-11-13
Internal Plasma Grid For Semiconductor Fabrication
App 20140302681 - Paterson; Alex ;   et al.
2014-10-09
Internal Plasma Grid For Semiconductor Fabrication
App 20140302680 - Singh; Harmeet ;   et al.
2014-10-09
Methods Of Forming Silicon Nitride Spacers
App 20140273292 - POSSEME; NICOLAS ;   et al.
2014-09-18
Pulsed plasma with low wafer temperature for ultra thin layer etches
Grant 8,709,953 - Lill , et al. April 29, 2
2014-04-29
Ceramic Article With Reduced Surface Defect Density And Process For Producing A Ceramic Article
App 20130216783 - Duan; Ren-Guan ;   et al.
2013-08-22
Pulsed Plasma With Low Wafer Temperature For Ultra Thin Layer Etches
App 20130109190 - LILL; Thorsten ;   et al.
2013-05-02
Integrated method for removal of halogen residues from etched substrates in a processing system
Grant 7,846,845 - Bahng , et al. December 7, 2
2010-12-07
Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
App 20080197109 - Mui; David S. ;   et al.
2008-08-21
Integrated Method For Removal Of Halogen Residues From Etched Substrates In A Processing System
App 20080099040 - Bahng; Kenneth J. ;   et al.
2008-05-01
Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
Grant 7,067,432 - Xu , et al. June 27, 2
2006-06-27
Novel methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
App 20040263827 - Xu, Songlin ;   et al.
2004-12-30
Method of micromachining a multi-part cavity
Grant 6,827,869 - Podlesnik , et al. December 7, 2
2004-12-07
Methods of forming polymer films and of using such polymer films to form structures on substrates
App 20040157466 - Xu, Songlin ;   et al.
2004-08-12
Methods of using polymer films to form micro-structures
App 20040157457 - Xu, Songlin ;   et al.
2004-08-12
Apparatus for plasma etching at a constant etch rate
Grant 6,660,127 - Nallan , et al. December 9, 2
2003-12-09
Channelled chamber surface for a semiconductor substrate processing chamber
Grant 6,656,283 - Lill December 2, 2
2003-12-02
Method and apparatus for monitoring and controlling wafer fabrication process
Grant 6,632,321 - Lill , et al. October 14, 2
2003-10-14
Method for in situ removal of a dielectric antireflective coating during a gate etch process
Grant 6,613,682 - Jain , et al. September 2, 2
2003-09-02
Method And Apparatus For Monitoring And Controlling Wafer Fabrication Process
App 20030029834 - LILL, THORSTEN ;   et al.
2003-02-13
Plasma reactor with dry clean apparatus and method
Grant 6,518,190 - Lill , et al. February 11, 2
2003-02-11
Method of micromachining a multi-part cavity
App 20020185469 - Podlesnik, Dragan ;   et al.
2002-12-12
Plasma etching at a constant etch rate
App 20020137352 - Nallan, Padmapani ;   et al.
2002-09-26
Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
App 20020086547 - Mui, David S. ;   et al.
2002-07-04
Method for controlling the shape of the etch front in the etching of polysilicon
Grant 6,284,665 - Lill , et al. September 4, 2
2001-09-04
Plasma density and etch rate enhancing semiconductor processing chamber
Grant 6,228,208 - Lill , et al. May 8, 2
2001-05-08
Process for control of the shape of the etch front in the etching of polysilicon
Grant 6,074,954 - Lill , et al. June 13, 2
2000-06-13

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed