loadpatents
name:-0.1607449054718
name:-0.14088797569275
name:-0.0025339126586914
Li; Wai-kin Patent Filings

Li; Wai-kin

Patent Applications and Registrations

Patent applications and USPTO patent grants for Li; Wai-kin.The latest application filed is for "bridging local semiconductor interconnects".

Company Profile
1.144.145
  • Li; Wai-kin - Winksele BE
  • Li; Wai-Kin - Beacon NY
  • Li; Wai-Kin - Herent BE
  • Li; Wai-Kin - Hopewell Junction NY
  • Li; Wai-Kin - Poughkeepsie NY US
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Self aligned via fuse
Grant 10,186,482 - Bao , et al. Ja
2019-01-22
Metal lines having etch-bias independent height
Grant 10,049,926 - Bao , et al. August 14, 2
2018-08-14
Bridging local semiconductor interconnects
Grant 10,032,794 - Li , et al. July 24, 2
2018-07-24
High density capacitor structure and method
Grant 9,960,226 - Li , et al. May 1, 2
2018-05-01
Bridging Local Semiconductor Interconnects
App 20180047755 - Li; Wai-Kin ;   et al.
2018-02-15
Bridging local semiconductor interconnects
Grant 9,859,303 - Li , et al. January 2, 2
2018-01-02
High Density Capacitor Structure And Method
App 20170323937 - Li; Wai-Kin ;   et al.
2017-11-09
Physical unclonable interconnect function array
Grant 9,768,110 - Feng , et al. September 19, 2
2017-09-19
High density capacitor structure and method
Grant 9,755,013 - Li , et al. September 5, 2
2017-09-05
Integration process to form microelectronic or micromechanical structures
Grant 9,755,016 - Choi , et al. September 5, 2
2017-09-05
On-chip semiconductor device having enhanced variability
Grant 9,691,718 - Li , et al. June 27, 2
2017-06-27
On-chip finFET structures to implement physical unclonable function for security application
Grant 9,666,582 - Li , et al. May 30, 2
2017-05-30
Patterning scheme to minimize dry/wets strip induced device degradation
Grant 9,627,272 - Dong , et al. April 18, 2
2017-04-18
Patterning Scheme To Minimize Dry/wets Strip Induced Device Degradation
App 20170062281 - DONG; Huihang ;   et al.
2017-03-02
Inducing device variation for security applications
Grant 9,576,914 - Li , et al. February 21, 2
2017-02-21
Bridging Local Semiconductor Interconnects
App 20170012061 - Li; Wai-Kin ;   et al.
2017-01-12
Bridging local semiconductor interconnects
Grant 9,515,148 - Li , et al. December 6, 2
2016-12-06
Inducing Device Variation For Security Applications
App 20160329287 - Li; Wai-Kin ;   et al.
2016-11-10
Novel Integration Process To Form Microelectronic Or Micromechanical Structures
App 20160322458 - Choi; Samuel S. ;   et al.
2016-11-03
High Density Capacitor Structure And Method
App 20160315138 - Li; Wai-Kin ;   et al.
2016-10-27
Patterning process for Fin implantation
Grant 9,472,463 - Dong , et al. October 18, 2
2016-10-18
Method of forming semiconductor structures with contact holes
Grant 9,449,822 - Li , et al. September 20, 2
2016-09-20
Patterning process for fin implantation
Grant 9,443,770 - Dong , et al. September 13, 2
2016-09-13
Metal Lines Having Etch-bias Independent Height
App 20160247716 - Bao; Junjing ;   et al.
2016-08-25
On-chip Semiconductor Device Having Enhanced Variability
App 20160247770 - Li; Wai-Kin ;   et al.
2016-08-25
Physical unclonable interconnect function array
Grant 9,391,014 - Feng , et al. July 12, 2
2016-07-12
On-chip semiconductor device having enhanced variability
Grant 9,391,030 - Li , et al. July 12, 2
2016-07-12
Physical Unclonable Interconnect Function Array
App 20160190005 - Feng; Kai D. ;   et al.
2016-06-30
Method of forming microelectronic or micromechanical structures
Grant 9,337,261 - Choi , et al. May 10, 2
2016-05-10
Metal lines having etch-bias independent height
Grant 9,337,082 - Bao , et al. May 10, 2
2016-05-10
Method for fabricating a physical unclonable interconnect function array
Grant 9,331,012 - Feng , et al. May 3, 2
2016-05-03
Method to mitigate resist pattern critical dimension variation in a double-exposure process
Grant 9,316,916 - Chen , et al. April 19, 2
2016-04-19
Self Aligned Via Fuse
App 20160104677 - Bao; Junjing ;   et al.
2016-04-14
Processing of integrated circuit for metal gate replacement
Grant 9,312,366 - Dong , et al. April 12, 2
2016-04-12
Block patterning process for post fin
Grant 9,312,191 - Li April 12, 2
2016-04-12
Photoresist Collapse Method For Forming A Physical Unclonable Function
App 20160071742 - Feng; Kai D. ;   et al.
2016-03-10
Embedded on-chip security
Grant 9,281,236 - Feng , et al. March 8, 2
2016-03-08
Block Patterning Process For Post Fin
App 20160049339 - Li; Wai-kin
2016-02-18
Self-aligned via fuse
Grant 9,240,376 - Bao , et al. January 19, 2
2016-01-19
Dynamic alignment by electrical potential and flow control to single-wall carbon nanotube field effect transistors
Grant 9,236,575 - Li , et al. January 12, 2
2016-01-12
Patterning Process For Fin Implantation
App 20150371904 - Dong; Huihang ;   et al.
2015-12-24
Physical Unclonable Interconnect Function Array
App 20150348899 - Feng; Kai D. ;   et al.
2015-12-03
Patterning Process For Fin Implantation
App 20150340292 - Dong; Huihang ;   et al.
2015-11-26
Photoresist collapse method for forming a physical unclonable function
Grant 9,190,360 - Feng , et al. November 17, 2
2015-11-17
On-chip structure for security application
Grant 9,189,654 - Feng , et al. November 17, 2
2015-11-17
Semiconductor device including enhanced variability
Grant 9,166,588 - Feng , et al. October 20, 2
2015-10-20
Embedded On-chip Security
App 20150255326 - Feng; Kai D. ;   et al.
2015-09-10
Novel Integration Process To Form Microelectronic Or Micromechanical Structures
App 20150243732 - Choi; Samuel S. ;   et al.
2015-08-27
Embedded on-chip security
Grant 9,117,824 - Feng , et al. August 25, 2
2015-08-25
Lateral-dimension-reducing Metallic Hard Mask Etch
App 20150235951 - Choi; Samuel S. ;   et al.
2015-08-20
Photoresist Collapse Method For Forming A Physical Unclonable Function
App 20150235964 - Feng; Kai D. ;   et al.
2015-08-20
Electronic Fuse With Resistive Heater
App 20150228578 - Bao; Junjing ;   et al.
2015-08-13
Via-fuse with low dielectric constant
Grant 9,105,638 - Bao , et al. August 11, 2
2015-08-11
Profile control in interconnect structures
Grant 9,105,641 - Chen , et al. August 11, 2
2015-08-11
Electronic fuse with resistive heater
Grant 9,093,452 - Bao , et al. July 28, 2
2015-07-28
Metallic mask patterning process for minimizing collateral etch of an underlayer
Grant 9,093,387 - Allen , et al. July 28, 2
2015-07-28
Semiconductor Device Including Enhanced Variability
App 20150207505 - Feng; Kai D. ;   et al.
2015-07-23
Metallic Mask Patterning Process For Minimizing Collateral Etch Of An Underlayer
App 20150194320 - ALLEN; SCOTT D. ;   et al.
2015-07-09
Method for adjusting target layout based on intensity of background light in etch mask layer
Grant 9,064,085 - Chang , et al. June 23, 2
2015-06-23
Electronic fuse having a damaged region
Grant 9,059,170 - Bao , et al. June 16, 2
2015-06-16
Lateral-dimension-reducing metallic hard mask etch
Grant 9,059,250 - Choi , et al. June 16, 2
2015-06-16
Random local metal cap layer formation for improved integrated circuit reliability
Grant 9,054,108 - Filippi , et al. June 9, 2
2015-06-09
On-chip Structure For Security Application
App 20150154421 - Feng; Kai Di ;   et al.
2015-06-04
Method for monitoring focus on an integrated wafer
Grant 9,046,788 - Gabor , et al. June 2, 2
2015-06-02
Via-fuse With Low Dielectric Constant
App 20150130018 - Bao; Junjing ;   et al.
2015-05-14
Bridging Local Semiconductor Interconnects
App 20150129961 - Li; Wai-Kin ;   et al.
2015-05-14
Embedded On-chip Security
App 20150084193 - Feng; Kai D. ;   et al.
2015-03-26
Self-aligned Via Fuse
App 20150048479 - Bao; Junjing ;   et al.
2015-02-19
Profile Control In Interconnect Structures
App 20150035154 - Chen; Shyng-Tsong ;   et al.
2015-02-05
Random Local Metal Cap Layer Formation For Improved Integrated Circuit Reliability
App 20150028484 - Filippi; Ronald G. ;   et al.
2015-01-29
Method Of Forming A Semiconductor Circuit
App 20150007119 - Chang; Sang Yil ;   et al.
2015-01-01
Random local metal cap layer formation for improved integrated circuit reliability
Grant 8,906,799 - Filippi , et al. December 9, 2
2014-12-09
Methods of manufacturing semiconductor devices and optical proximity correction
Grant 8,877,650 - Park , et al. November 4, 2
2014-11-04
Method for designing optical lithography masks for directed self-assembly
Grant 8,856,693 - Cheng , et al. October 7, 2
2014-10-07
Method for generating post-OPC layout in consideration of top loss of etch mask layer
Grant 8,856,695 - Chang , et al. October 7, 2
2014-10-07
Photoresist composition containing a protected hydroxyl group for negative development and pattern forming method using thereof
Grant 8,846,295 - Chen , et al. September 30, 2
2014-09-30
Method For Generating Post-opc Layout In Consideration Of Top Loss Of Etch Mask Layer
App 20140282297 - CHANG; SANG YIL ;   et al.
2014-09-18
Method of fabricating a profile control in interconnect structures
Grant 8,835,305 - Yang , et al. September 16, 2
2014-09-16
Electronic Fuse With Resistive Heater
App 20140252538 - Bao; Junjing ;   et al.
2014-09-11
Gate conductor with a diffusion barrier
Grant 8,809,915 - Li , et al. August 19, 2
2014-08-19
Electronic Fuse Having A Damaged Region
App 20140217612 - Bao; Junjing ;   et al.
2014-08-07
Near-infrared Absorbing Film Compositions
App 20140210034 - Huang; Wu-Song ;   et al.
2014-07-31
Metal Lines Having Etch-bias Independent Height
App 20140203447 - Bao; Junjing ;   et al.
2014-07-24
Near-infrared absorbing film compositions
Grant 8,772,376 - Huang , et al. July 8, 2
2014-07-08
Circuit structure with low dielectric constant regions
Grant 8,772,941 - Clevenger , et al. July 8, 2
2014-07-08
System Involving Electrically Reprogrammable Fuses
App 20140177373 - Chanda; Kaushik ;   et al.
2014-06-26
Near-infrared absorptive layer-forming composition and multilayer film comprising near-infrared absorptive layer
Grant 8,722,307 - Tachibana , et al. May 13, 2
2014-05-13
Methods and systems involving electrically reprogrammable fuses
Grant 8,716,071 - Chanda , et al. May 6, 2
2014-05-06
Structure and method of reducing electromigration cracking and extrusion effects in semiconductor devices
Grant 8,716,101 - Chandra , et al. May 6, 2
2014-05-06
Photoresist Composition Containing A Protected Hydroxyl Group For Negative Development And Pattern Forming Method Using Thereof
App 20140072915 - Chen; Kuang-Jung ;   et al.
2014-03-13
Profile Control In Interconnect Structures
App 20140035142 - Yang; Chih-Chao ;   et al.
2014-02-06
Forming sub-lithographic patterns using double exposure
Grant 8,609,327 - Chen , et al. December 17, 2
2013-12-17
Near-infrared absorbing film compositions
Grant 8,586,283 - Glodde , et al. November 19, 2
2013-11-19
Photoresist Composition Containing A Protected Hydroxyl Group For Negative Development And Pattern Forming Method Using Thereof
App 20130288178 - Chen; Kuang-Jung ;   et al.
2013-10-31
Methods and systems involving electrically reprogrammable fuses
Grant 8,535,991 - Chanda , et al. September 17, 2
2013-09-17
Physical Unclonable Interconnect Function Array
App 20130233608 - Feng; Kai D. ;   et al.
2013-09-12
Gate conductor with a diffusion barrier
App 20130228900 - Li; Wai-Kin ;   et al.
2013-09-05
Lateral-Dimension-Reducing Metallic Hard Mask Etch
App 20130214391 - Choi; Samuel S. ;   et al.
2013-08-22
Electrical fuses and resistors having sublithographic dimensions
Grant 8,513,769 - Black , et al. August 20, 2
2013-08-20
Method of forming a pattern of an array of shapes including a blocked region
Grant 8,492,079 - Chen , et al. July 23, 2
2013-07-23
Methods And Systems Involving Electrically Reprogrammable Fuses
App 20130176805 - Chanda; Kaushik ;   et al.
2013-07-11
Gate conductor with a diffusion barrier
Grant 8,476,674 - Li , et al. July 2, 2
2013-07-02
Photoresist Composition For Negative Development And Pattern Forming Method Using Thereof
App 20130164680 - Chen; Kuang-Jung ;   et al.
2013-06-27
Near-infrared Absorbing Film Composition For Lithographic Application
App 20130157463 - Goldfarb; Dario L. ;   et al.
2013-06-20
Directed self-assembly of block copolymers using segmented prepatterns
Grant 8,398,868 - Cheng , et al. March 19, 2
2013-03-19
Photoresist compositions and methods for shrinking a photoresist critical dimension
Grant 8,394,573 - Huang , et al. March 12, 2
2013-03-12
Integration process to improve focus leveling within a lot process variation
Grant 8,395,228 - Li , et al. March 12, 2
2013-03-12
Method for reducing tip-to-tip spacing between lines
Grant 8,361,704 - Colburn , et al. January 29, 2
2013-01-29
Structure of power grid for semiconductor devices and method of making the same
Grant 8,349,723 - Filippi , et al. January 8, 2
2013-01-08
Near-Infrared Absorbing Film Compositions
App 20130001484 - Glodde; Martin ;   et al.
2013-01-03
Method For Designing Optical Lithography Masks For Directed Self-assembly
App 20120331428 - Cheng; Joy ;   et al.
2012-12-27
Method for designing optical lithography masks for directed self-assembly
Grant 8,336,003 - Cheng , et al. December 18, 2
2012-12-18
Stray light feedback for dose control in semiconductor lithography systems
Grant 8,330,937 - Marokkey , et al. December 11, 2
2012-12-11
Near-infrared Absorptive Layer-forming Composition And Multilayer Film Comprising Near-infrared Absorptive Layer
App 20120301828 - TACHIBANA; Seiichiro ;   et al.
2012-11-29
Structure of metal e-fuse
Grant 8,299,567 - Wang , et al. October 30, 2
2012-10-30
Semiconductor structure and method of manufacturing same
Grant 8,298,912 - Li , et al. October 30, 2
2012-10-30
Near-infrared absorbing film compositions
Grant 8,293,451 - Glodde , et al. October 23, 2
2012-10-23
Structure And Method Of Reducing Electromigration Cracking And Extrusion Effects In Semiconductor Devices
App 20120264295 - Chandra; Kaushik ;   et al.
2012-10-18
Method for reducing side lobe printing using a barrier layer
Grant 8,268,542 - Chen , et al. September 18, 2
2012-09-18
Methods of Manufacturing Semiconductor Devices and Optical Proximity Correction
App 20120228743 - Park; O Seo ;   et al.
2012-09-13
Method for removing threshold voltage adjusting layer with external acid diffusion process
Grant 8,227,307 - Chen , et al. July 24, 2
2012-07-24
Photolithography focus improvement by reduction of autofocus radiation transmission into substrate
Grant 8,227,180 - Brunner , et al. July 24, 2
2012-07-24
Multi-exposure Lithography Employing Differentially Sensitive Photoresist Layers
App 20120156450 - Huang; Wu-Song ;   et al.
2012-06-21
Methods of manufacturing semiconductor devices and optical proximity correction
Grant 8,187,974 - Park , et al. May 29, 2
2012-05-29
Photoresist Composition For Negative Development And Pattern Forming Method Using Thereof
App 20120122031 - Chen; Kuang-Jung ;   et al.
2012-05-17
Novel Integration Process to Improve Focus Leveling Within a Lot Process Variation
App 20120112302 - Li; Wai-Kin ;   et al.
2012-05-10
Structure Of Power Grid For Semiconductor Devices And Method Of Making The Same
App 20120100712 - Filippi; Ronald ;   et al.
2012-04-26
Structure of power grid for semiconductor devices and method of making the same
Grant 8,164,190 - Filippi , et al. April 24, 2
2012-04-24
Method for selectively adjusting local resist pattern dimension with chemical treatment
Grant 8,163,466 - Chen , et al. April 24, 2
2012-04-24
Multi-exposure lithography employing differentially sensitive photoresist layers
Grant 8,158,014 - Huang , et al. April 17, 2
2012-04-17
Photoresist Compositions And Methods For Shrinking A Photoresist Critical Dimension
App 20120070787 - Huang; Wu-Song ;   et al.
2012-03-22
Method of forming sub-lithographic features using directed self-assembly of polymers
Grant 8,114,306 - Cheng , et al. February 14, 2
2012-02-14
Method Of Forming Semiconductor Structures With Contact Holes
App 20120028476 - Li; Wai-Kin ;   et al.
2012-02-02
Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
Grant 8,083,958 - Li , et al. December 27, 2
2011-12-27
Near-infrared Absorptive Layer-forming Composition And Multilayer Film
App 20110262863 - TACHIBANA; Seiichiro ;   et al.
2011-10-27
Near-infrared Absorptive Layer-forming Composition And Multilayer Film
App 20110262862 - OHASHI; Masaki ;   et al.
2011-10-27
Photolithography Focus Improvement By Reduction Of Autofocus Radiation Transmission Into Substrate
App 20110256486 - Brunner; Timothy A. ;   et al.
2011-10-20
Method For Designing Optical Lithography Masks For Directed Self-assembly
App 20110209106 - Cheng; Joy ;   et al.
2011-08-25
Semiconductor Structure And Method Of Manufacturing Same
App 20110183491 - LI; Wai-Kin ;   et al.
2011-07-28
Gate Conductor Structure
App 20110156282 - Li; Wai-Kin ;   et al.
2011-06-30
Semiconductor structure and method of manufacturing same
Grant 7,960,036 - Li , et al. June 14, 2
2011-06-14
Sub-lithographic dimensioned air gap formation and related structure
Grant 7,943,480 - Edelstein , et al. May 17, 2
2011-05-17
Gate conductor structure
Grant 7,943,452 - Li , et al. May 17, 2
2011-05-17
Variable fill and cheese for mitigation of BEOL topography
Grant 7,926,006 - Bailey , et al. April 12, 2
2011-04-12
Near-Infrared Absorbing Film Compositions
App 20110042653 - Glodde; Martin ;   et al.
2011-02-24
Near-Infrared Absorbing Film Compositions
App 20110042771 - Huang; Wu-Song ;   et al.
2011-02-24
Method and structure for relieving transistor performance degradation due to shallow trench isolation induced stress
Grant 7,871,895 - Divakaruni , et al. January 18, 2
2011-01-18
Fully and uniformly silicided gate structure and method for forming same
Grant 7,863,186 - Li , et al. January 4, 2
2011-01-04
Structure Of Power Grid For Semiconductor Devices And Method Of Making The Same
App 20100327445 - Filippi; Ronald ;   et al.
2010-12-30
Method For Removing Threshold Voltage Adjusting Layer With External Acid Diffusion Process
App 20100330810 - Chen; Kuang-Jung ;   et al.
2010-12-30
Method of forming sub-lithographic features using directed self-assembly of polymers
App 20100297847 - Cheng; Joy ;   et al.
2010-11-25
Directed self-assembly of block copolymers using segmented prepatterns
App 20100294740 - Cheng; Joy ;   et al.
2010-11-25
Photoresist compositions and method for multiple exposures with multiple layer resist systems
Grant 7,838,200 - Chen , et al. November 23, 2
2010-11-23
Photoresist compositions and method for multiple exposures with multiple layer resist systems
Grant 7,838,198 - Chen , et al. November 23, 2
2010-11-23
Electrical Fuses And Resistors Having Sublithographic Dimensions
App 20100283121 - Black; Charles T. ;   et al.
2010-11-11
Electrical fuse having a cavity thereupon
Grant 7,825,490 - Kim , et al. November 2, 2
2010-11-02
Method Of Forming A Pattern Of An Array Of Shapes Including A Blocked Region
App 20100272967 - Chen; Chia-Chen ;   et al.
2010-10-28
Method To Mitigate Resist Pattern Critical Dimension Variation In A Double-exposure Process
App 20100255428 - CHEN; KUANG-JUNG ;   et al.
2010-10-07
Photoresist Compositions And Process For Multiple Exposures With Multiple Layer Photoresist Systems
App 20100248147 - Chen; Kuang-Jung ;   et al.
2010-09-30
Photoresist compositions and process for multiple exposures with multiple layer photoresist systems
Grant 7,803,521 - Chen , et al. September 28, 2
2010-09-28
Structure and method to use low k stress liner to reduce parasitic capacitance
Grant 7,790,540 - Yang , et al. September 7, 2
2010-09-07
Electrical fuse having sublithographic cavities thereupon
Grant 7,785,937 - Kim , et al. August 31, 2
2010-08-31
Sub-lithographic gate length transistor using self-assembling polymers
Grant 7,786,527 - Yang , et al. August 31, 2
2010-08-31
Utilizing inverse reactive ion etching lag in double patterning contact formation
Grant 7,786,017 - Morgenfeld , et al. August 31, 2
2010-08-31
Method For Selectively Adjusting Local Resist Pattern Dimension With Chemical Treatment
App 20100209853 - Chen; Kuang-Jung ;   et al.
2010-08-19
Non-planar fuse structure including angular bend
Grant 7,777,297 - Yang , et al. August 17, 2
2010-08-17
Sub-lithographic interconnect patterning using self-assembling polymers
Grant 7,767,099 - Li , et al. August 3, 2
2010-08-03
Method For Reducing Tip-to-tip Spacing Between Lines
App 20100178615 - COLBURN; MATTHEW E. ;   et al.
2010-07-15
Electrical fuses and resistors having sublithographic dimensions
Grant 7,741,721 - Black , et al. June 22, 2
2010-06-22
Methods and Systems Involving Electrically Reprogrammable Fuses
App 20100118636 - Chanda; Kaushik ;   et al.
2010-05-13
Dual damascene metal interconnect structure having a self-aligned via
Grant 7,696,085 - Li , et al. April 13, 2
2010-04-13
Microelectronic circuit structure with layered low dielectric constant regions
Grant 7,692,308 - Clevenger , et al. April 6, 2
2010-04-06
Contact aperture and contact via with stepped sidewall and methods for fabrication thereof
Grant 7,687,395 - Yang , et al. March 30, 2
2010-03-30
Electrical fuse having sublithographic cavities thereupon
Grant 7,675,137 - Kim , et al. March 9, 2
2010-03-09
Empty vias for electromigration during electronic-fuse re-programming
Grant 7,671,444 - Wang , et al. March 2, 2
2010-03-02
Electrical Fuse Having Sublithographic Cavities Thereupon
App 20100005649 - Kim; Deok-kee ;   et al.
2010-01-14
Forming Sub-lithographic Patterns Using Double Exposure
App 20100009298 - Chen; Kuang-Jung ;   et al.
2010-01-14
Multi-exposure Lithography Employing Differentially Sensitive Photoresist Layers
App 20090311491 - Huang; Wu-Song ;   et al.
2009-12-17
Methods of Fabricating Transistors and Structures Thereof
App 20090294807 - Yan; Jiang ;   et al.
2009-12-03
Stray Light Feedback for Dose Control in Semiconductor Lithography Systems
App 20090284726 - Marokkey; Sajan ;   et al.
2009-11-19
Method For Monitoring Focus On An Integrated Wafer
App 20090284722 - Gabor; Allen H. ;   et al.
2009-11-19
Sub-lithographic feature patterning using self-aligned self-assembly polymers
Grant 7,605,081 - Yang , et al. October 20, 2
2009-10-20
Sub-lithographic local interconnects, and methods for forming same
Grant 7,592,247 - Yang , et al. September 22, 2
2009-09-22
Stray light feedback for dose control in semiconductor lithography systems
Grant 7,583,362 - Marokkey , et al. September 1, 2
2009-09-01
Dual Damascene Metal Interconnect Structure Having A Self-aligned Via
App 20090206489 - Li; Wai-kin ;   et al.
2009-08-20
Method And Structure For Relieving Transistor Performance Degradation Due To Shallow Trench Isolation Induced Stress
App 20090206442 - Divakaruni; Ramachandra ;   et al.
2009-08-20
Photolithography Focus Improvement By Reduction Of Autofocus Radiation Transmission Into Substrate
App 20090208865 - Brunner; Timothy A. ;   et al.
2009-08-20
Structure And Method Of Forming Transitional Contacts Between Wide And Thin Beol Wirings
App 20090200674 - Yang; Haining ;   et al.
2009-08-13
Sub-lithographic Dimensioned Air Gap Formation And Related Structure
App 20090200636 - Edelstein; Daniel C. ;   et al.
2009-08-13
Si-containing polymers for nano-pattern device fabrication
Grant 7,560,222 - Chen , et al. July 14, 2
2009-07-14
Sub-lithographic nano interconnect structures, and method for forming same
Grant 7,553,760 - Yang , et al. June 30, 2
2009-06-30
Methods of Manufacturing Semiconductor Devices and Optical Proximity Correction
App 20090160027 - Park; O Seo ;   et al.
2009-06-25
Photoresist Compositions And Method For Multiple Exposures With Multiple Layer Resist Systems
App 20090155715 - Chen; Kuang-Jung ;   et al.
2009-06-18
Photoresist Compositions And Method For Multiple Exposures With Multiple Layer Resist Systems
App 20090155718 - Chen; Kuang-Jung ;   et al.
2009-06-18
Patterning Method Using A Combination Of Photolithography And Copolymer Self-assemblying Lithography Techniques
App 20090148795 - Li; Wai-Kin ;   et al.
2009-06-11
Thermal energy removal structure and method
Grant 7,545,034 - Kim , et al. June 9, 2
2009-06-09
Method and structure for ultra narrow crack stop for multilevel semiconductor device
Grant 7,544,602 - Clevenger , et al. June 9, 2
2009-06-09
Method For Reducing Side Lobe Printing Using A Barrier Layer
App 20090142704 - Chen; Kuang-Jung ;   et al.
2009-06-04
Method of forming film stack having under layer for preventing pinhole defects
Grant 7,541,065 - Brodsky , et al. June 2, 2
2009-06-02
Photoresist Compositions And Process For Multiple Exposures With Multiple Layer Photoresist Systems
App 20090130590 - Chen; Kuang-Jung ;   et al.
2009-05-21
Fully And Uniformly Silicided Gate Structure And Method For Forming Same
App 20090090986 - Li; Wai-Kin ;   et al.
2009-04-09
Ribs for line collapse prevention in damascene structures
Grant 7,514,356 - Marokkey , et al. April 7, 2
2009-04-07
Method for fabricating shallow trench isolation structures using diblock copolymer patterning
Grant 7,514,339 - Yang , et al. April 7, 2
2009-04-07
Semiconductor Fuse Structure And Method
App 20090085151 - Kim; Deok-kee ;   et al.
2009-04-02
Microelectronic Circuit Structure With Layered Low Dielectric Constant Regions
App 20090072410 - Clevenger; Lawrence A. ;   et al.
2009-03-19
Method of formation of a damascene structure utilizing a protective film
Grant 7,501,353 - Li , et al. March 10, 2
2009-03-10
Methods And Systems Involving Electrically Reprogrammable Fuses
App 20090045484 - Chanda; Kaushik ;   et al.
2009-02-19
Semiconductor Structure And Method Of Manufacturing Same
App 20090035588 - Li; Wai-Kin ;   et al.
2009-02-05
Electrical Fuses And Resistors Having Sublithographic Dimensions
App 20090032959 - Black; Charles T. ;   et al.
2009-02-05
Microelectronic circuit structure with layered low dielectric constant regions and method of forming same
Grant 7,485,567 - Clevenger , et al. February 3, 2
2009-02-03
Electrical Fuse Having Sublithographic Cavities Thereupon
App 20090026574 - Kim; Deok-kee ;   et al.
2009-01-29
Fully and uniformly silicided gate structure and method for forming same
Grant 7,482,270 - Li , et al. January 27, 2
2009-01-27
Electrical Fuse Having A Cavity Thereupon
App 20090021338 - Kim; Deok-kee ;   et al.
2009-01-22
Circuit Structure with Low Dielectric Constant Regions
App 20090008791 - Clevenger; Lawrence A. ;   et al.
2009-01-08
Film stack having under layer for preventing pinhole defects
Grant 7,473,461 - Brodsky , et al. January 6, 2
2009-01-06
Methods Of Patterning Self-assembly Nano-structure And Forming Porous Dielectric
App 20090001045 - Chen; Kuang-Jung ;   et al.
2009-01-01
Empty Vias For Electromigration During Electronic-fuse Re-programming
App 20080315353 - Wang; Ping-Chuan ;   et al.
2008-12-25
Thermally Conductive Electrical Structure and Method
App 20080316709 - Kim; Deok-kee ;   et al.
2008-12-25
Electrical Fuse With Sublithographic Dimension
App 20080308900 - Kim; Deok-kee ;   et al.
2008-12-18
Structure And Method Of Reducing Electromigration Cracking And Extrusion Effects In Semiconductor Devices
App 20080303164 - Chandra; Kaushik ;   et al.
2008-12-11
Circuit structure with low dielectric constant regions and method of forming same
Grant 7,439,172 - Clevenger , et al. October 21, 2
2008-10-21
Strained MOSFETs on separated silicon layers
Grant 7,436,030 - Yang , et al. October 14, 2
2008-10-14
Method And Structure For Ultra Narrow Crack Stop For Multilevel Semiconductor Device
App 20080237868 - Clevenger; Lawrence A. ;   et al.
2008-10-02
Non-planar Fuse Structure Including Angular Bend And Method For Fabrication Thereof
App 20080237786 - Yang; Haining S. ;   et al.
2008-10-02
Integrated Circuit System With Carbon Enhancement
App 20080230907 - Liu; Wuping ;   et al.
2008-09-25
Variable Fill And Cheese For Mitigation Of Beol Topography
App 20080203589 - Bailey; Todd C. ;   et al.
2008-08-28
Sub-lithographic Gate Length Transistor Using Self-assembling Polymers
App 20080179667 - Yang; Haining S. ;   et al.
2008-07-31
Sub-lithographic Interconnect Patterning Using Self-assembling Polymers
App 20080182402 - Li; Wai-Kin ;   et al.
2008-07-31
Circuit Structure with Low Dielectric Constant Regions and Method of Forming Same
App 20080171432 - Clevenger; Lawrence A. ;   et al.
2008-07-17
Method For Fabricating Shallow Trench Isolation Structures Using Diblock Copolymer Patterning
App 20080164558 - Yang; Haining ;   et al.
2008-07-10
Method Of Formation Of A Damascene Structure Utilizing A Protective Film
App 20080153296 - Li; Wai-Kin ;   et al.
2008-06-26
Gate Conductor Structure
App 20080135987 - Li; Wai-Kin ;   et al.
2008-06-12
Sub-lithographic gate length transistor using self-assembling polymers
Grant 7,384,852 - Yang , et al. June 10, 2
2008-06-10
Fully And Uniformly Silicided Gate Structure And Method For Forming Same
App 20080132070 - Li; Wai-Kin ;   et al.
2008-06-05
Contact Aperture And Contact Via With Stepped Sidewall And Methods For Fabrication Thereof
App 20080122110 - Yang; Haining ;   et al.
2008-05-29
Si-CONTAINING POLYMERS FOR NANO-PATTERN DEVICE FABRICATION
App 20080102401 - Chen; Kuang-Jung ;   et al.
2008-05-01
Sub-lithographic Gate Length Transistor Using Self-assembling Polymers
App 20080099845 - Yang; Haining ;   et al.
2008-05-01
Sub-lithographic Nano Interconnect Structures, And Method For Forming Same
App 20080093743 - Yang; Haining ;   et al.
2008-04-24
Method Of Patterning Contact Holes
App 20080085598 - Li; Wai-Kin ;   et al.
2008-04-10
Sub-lithographic Local Interconnects, And Methods For Forming Same
App 20080083991 - Yang; Haining ;   et al.
2008-04-10
STRUCTURE AND METHOD TO USE LOW k STRESS LINER TO REDUCE PARASITIC CAPACITANCE
App 20080048271 - Yang; Haining ;   et al.
2008-02-28
Strained Mosfets On Separated Silicon Layers
App 20080036012 - Yang; Haining ;   et al.
2008-02-14
Method Of Formation Of A Damascene Structure
App 20080020327 - Yang; Chih-Chao ;   et al.
2008-01-24
Cmos Devices Comprising A Continuous Stressor Layer With Regions Of Opposite Stresses, And Methods Of Fabricating The Same
App 20070296027 - Yang; Haining ;   et al.
2007-12-27
Sub-lithographic Feature Patterning Using Self-aligned Self-assembly Polymers
App 20070293041 - Yang; Haining ;   et al.
2007-12-20
Bottom Anti-reflective Coating
App 20070275330 - Bailey; Todd C. ;   et al.
2007-11-29
Film stack having under layer for preventing pinhole defects
App 20070259162 - Brodsky; Colin J. ;   et al.
2007-11-08
Method of forming film stack having under layer for preventing pinhole defects
App 20070243333 - Brodsky; Colin J. ;   et al.
2007-10-18
Film stack having under layer for preventing pinhole defects
Grant 7,267,863 - Brodsky , et al. September 11, 2
2007-09-11
Methods Of Preventing Defects In Antireflective Coatings
App 20070178404 - Brodsky; Colin J. ;   et al.
2007-08-02
After deposition method of thinning film to reduce pinhole defects
App 20070037325 - Li; Wai-Kin ;   et al.
2007-02-15
After deposition method of thinning film to reduce pinhole defects
Grant 7,132,316 - Li , et al. November 7, 2
2006-11-07
Ribs for line collapse prevention in damascene structures
App 20060199369 - Marokkey; Sajan ;   et al.
2006-09-07
Apparatus and method to improve resist line roughness in semiconductor wafer processing
App 20060110685 - Li; Wai-kin ;   et al.
2006-05-25
Stray light feedback for dose control in semiconductor lithography systems
App 20060110666 - Marokkey; Sajan ;   et al.
2006-05-25
Apparatus and method to improve resist line roughness in semiconductor wafer processing
Grant 7,018,779 - Li , et al. March 28, 2
2006-03-28
Film stack having under layer for preventing pinhole defects
App 20060003153 - Brodsky; Colin J. ;   et al.
2006-01-05
Suppressing lithography at a wafer edge
Grant 6,960,532 - Chen , et al. November 1, 2
2005-11-01
Method of patterning damascene structure in integrated circuit design
Grant 6,949,459 - Li , et al. September 27, 2
2005-09-27
Suppressing lithography at a wafer edge
App 20040171267 - Chen, Linda ;   et al.
2004-09-02
Apparatus and method to improve resist line roughness in semiconductor wafer processing
App 20040131979 - Li, Wai-kin ;   et al.
2004-07-08

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed