loadpatents
name:-0.17510914802551
name:-0.15485310554504
name:-0.081661939620972
Li; Baozhen Patent Filings

Li; Baozhen

Patent Applications and Registrations

Patent applications and USPTO patent grants for Li; Baozhen.The latest application filed is for "mim capacitor structures".

Company Profile
90.157.163
  • Li; Baozhen - South Burlington VT
  • Li; Baozhen - Essex Junction VT
  • Li; Baozhen - Burlington VT
  • Li; Baozhen - South Burligton VT
  • - South Burlington VT US
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Long Channel And Short Channel Vertical Fet Co-integration For Vertical Fet Vtfet
App 20220139909 - Hook; Terence B. ;   et al.
2022-05-05
Mim Capacitor Structures
App 20220139820 - LIANG; JIM SHIH-CHUN ;   et al.
2022-05-05
Footing flare pedestal structure
Grant 11,302,639 - Yang , et al. April 12, 2
2022-04-12
Interconnect and memory structures formed in the BEOL
Grant 11,282,788 - Yang , et al. March 22, 2
2022-03-22
Switchable metal insulator metal capacitor
Grant 11,276,748 - Li , et al. March 15, 2
2022-03-15
E-fuse co-processed with MIM capacitor
Grant 11,257,750 - Li , et al. February 22, 2
2022-02-22
Long channel and short channel vertical FET co-integration for vertical FET VTFET
Grant 11,251,179 - Hook , et al. February 15, 2
2022-02-15
On integrated circuit (IC) device simultaneously formed capacitor and resistor
Grant 11,244,850 - Liang , et al. February 8, 2
2022-02-08
Bottom conductive structure with a limited top contact area
Grant 11,239,278 - Yang , et al. February 1, 2
2022-02-01
Enhancement of iso-via reliability
Grant 11,227,796 - Clevenger , et al. January 18, 2
2022-01-18
Interconnect architecture with enhanced reliability
Grant 11,205,588 - Li , et al. December 21, 2
2021-12-21
Bilayer barrier for interconnect and memory structures formed in the BEOL
Grant 11,195,751 - Yang , et al. December 7, 2
2021-12-07
Embedded small via anti-fuse device
Grant 11,177,213 - Yang , et al. November 16, 2
2021-11-16
Metalization repair in semiconductor wafers
Grant 11,171,063 - Clevenger , et al. November 9, 2
2021-11-09
Metalization repair in semiconductor wafers
Grant 11,171,064 - Clevenger , et al. November 9, 2
2021-11-09
Interconnect and memory structures having reduced topography variation formed in the BEOL
Grant 11,164,878 - Yang , et al. November 2, 2
2021-11-02
Electrical fuse with metal line migration
Grant 11,152,300 - Li , et al. October 19, 2
2021-10-19
Integrated circuit (IC) device integral capacitor and anti-fuse
Grant 11,145,591 - Liang , et al. October 12, 2
2021-10-12
Semiconductor via structure with lower electrical resistance
Grant 11,145,543 - Clevenger , et al. October 12, 2
2021-10-12
Bottom electrode structure and method of forming the same
Grant 11,133,462 - Yang , et al. September 28, 2
2021-09-28
On Integrated Circuit (ic) Device Capacitor Between Metal Lines
App 20210287984 - Li; Baozhen ;   et al.
2021-09-16
Sub-ground rule e-Fuse structure
Grant 11,121,082 - Kim , et al. September 14, 2
2021-09-14
Rram Structures In The Beol
App 20210280638 - Li; Baozhen ;   et al.
2021-09-09
Contacts Having A Geometry To Reduce Resistance
App 20210272902 - Clevenger; Lawrence A. ;   et al.
2021-09-02
EFuse structure with multiple links
Grant 11,101,213 - Li , et al. August 24, 2
2021-08-24
Electromigration test structures for void localization
Grant 11,099,230 - Li , et al. August 24, 2
2021-08-24
Hybrid Interconnect With A Reliability Liner In Wide Features
App 20210257299 - Yang; Chih-Chao ;   et al.
2021-08-19
E-fuse Co-processed With Mim Capacitor
App 20210249348 - Li; Baozhen ;   et al.
2021-08-12
Bottom Conductive Structure With A Limited Top Contact Area
App 20210242278 - Yang; Chih-Chao ;   et al.
2021-08-05
Interconnect And Memory Structures Having Reduced Topography Variation Formed In The Beol
App 20210242216 - Yang; Chih-Chao ;   et al.
2021-08-05
Embedded Small Via Anti-fuse Device
App 20210233843 - Yang; Chih-Chao ;   et al.
2021-07-29
Efuse Structure With Multiple Links
App 20210233844 - LI; BAOZHEN ;   et al.
2021-07-29
Footing Flare Pedestal Structure
App 20210225774 - Yang; Chih-Chao ;   et al.
2021-07-22
Contacts having a geometry to reduce resistance
Grant 11,062,993 - Clevenger , et al. July 13, 2
2021-07-13
Low resistance high capacitance density MIM capacitor
Grant 11,031,457 - Li , et al. June 8, 2
2021-06-08
On Integrated Circuit (ic) Device Simultaneously Formed Capacitor And Resistor
App 20210151345 - Liang; Jim Shih-Chun ;   et al.
2021-05-20
Integrated Circuit (ic) Device Integral Capacitor And Anti-fuse
App 20210151373 - Liang; Jim Shih-Chun ;   et al.
2021-05-20
Back end of line (BEOL) time dependent dielectric breakdown (TDDB) mitigation within a vertical interconnect access (VIA) level of an integrated circuit (IC) device
Grant 10,998,263 - Liang , et al. May 4, 2
2021-05-04
BEOL electrical fuse
Grant 10,971,447 - Yang , et al. April 6, 2
2021-04-06
Dielectric crack stop for advanced interconnects
Grant 10,964,647 - Li , et al. March 30, 2
2021-03-30
Advanced crack stop structure
Grant 10,957,657 - Yang , et al. March 23, 2
2021-03-23
Bilayer Barrier For Interconnect And Memory Structures Formed In The Beol
App 20210082751 - Yang; Chih-Chao ;   et al.
2021-03-18
Precision BEOL resistors
Grant 10,943,972 - Li , et al. March 9, 2
2021-03-09
Advanced interconnects containing an IMT liner
Grant 10,930,589 - Maniscalco , et al. February 23, 2
2021-02-23
Low resistance contact for transistors
Grant 10,923,575 - Clevenger , et al. February 16, 2
2021-02-16
Switchable Metal Insulator Metal Capacitor
App 20210036096 - Li; Baozhen ;   et al.
2021-02-04
Interconnect And Memory Structures Formed In The Beol
App 20210028107 - Yang; Chih-Chao ;   et al.
2021-01-28
Fabricating vias with lower resistance
Grant 10,903,117 - Li , et al. January 26, 2
2021-01-26
Fabrication Of Phase Change Memory Cell In Integrated Circuit
App 20210020836 - Li; Baozhen ;   et al.
2021-01-21
Interconnect Architecture With Enhanced Reliability
App 20210013097 - Li; Baozhen ;   et al.
2021-01-14
Beol Electrical Fuse And Method Of Forming The Same
App 20200402907 - Yang; Chih-Chao ;   et al.
2020-12-24
Back End Of Line (beol) Time Dependent Dielectric Breakdown (tddb) Mitigation Within A Vertical Interconnect Access (via) Level Of An Integrated Circuit (ic) Device
App 20200395294 - Liang; Jim Shih-Chun ;   et al.
2020-12-17
Bottom Electrode Structure And Method Of Forming The Same
App 20200388757 - Yang; Chih-Chao ;   et al.
2020-12-10
Advanced crack stop structure
Grant 10,847,475 - Yang , et al. November 24, 2
2020-11-24
BEOL electrical fuse and method of forming the same
Grant 10,847,458 - Yang , et al. November 24, 2
2020-11-24
Advanced crack stop structure
Grant 10,840,194 - Yang , et al. November 17, 2
2020-11-17
Advanced crack stop structure
Grant 10,840,195 - Yang , et al. November 17, 2
2020-11-17
Fabrication of phase change memory cell in integrated circuit
Grant 10,840,447 - Li , et al. November 17, 2
2020-11-17
Sub-ground Rule E-fuse Structure
App 20200335440 - Kim; Andrew T. ;   et al.
2020-10-22
Sub-ground rule e-Fuse structure
Grant 10,811,353 - Li , et al. October 20, 2
2020-10-20
Semiconductor device and method of forming the semiconductor device
Grant 10,784,159 - Clevenger , et al. Sept
2020-09-22
Fabrication Of Phase Change Memory Cell In Integrated Circuit
App 20200295261 - Li; Baozhen ;   et al.
2020-09-17
Reliable Resistive Random Access Memory
App 20200287136 - Li; Baozhen ;   et al.
2020-09-10
Fabricating Vias With Lower Resistance
App 20200286780 - Li; Baozhen ;   et al.
2020-09-10
BEOL thin film resistor
Grant 10,770,393 - Kim , et al. Sep
2020-09-08
Circular ring shaped antifuse device
Grant 10,763,210 - Yang , et al. Sep
2020-09-01
Collar formation for chamfer-less and chamfered vias
Grant 10,741,441 - Li , et al. A
2020-08-11
Circular Ring Shaped Antifuse Device
App 20200219811 - Yang; Chih-Chao ;   et al.
2020-07-09
Method of optimizing wire RC for device performance and reliability
Grant 10,699,950 - Clevenger , et al.
2020-06-30
Contacts Having A Geometry To Reduce Resistance
App 20200194371 - Clevenger; Lawrence A. ;   et al.
2020-06-18
Graded interconnect cap
Grant 10,651,083 - Kim , et al.
2020-05-12
Contacts having a geometry to reduce resistance
Grant 10,636,738 - Clevenger , et al.
2020-04-28
Sub-ground Rule E-fuse Structure
App 20200126911 - Li; Baozhen ;   et al.
2020-04-23
Advanced Crack Stop Structure
App 20200118943 - Yang; Chih-Chao ;   et al.
2020-04-16
Vertical Electrical Fuse
App 20200111741 - Yang; Chih-Chao ;   et al.
2020-04-09
MIM capacitor for improved process defect tolerance
Grant 10,615,112 - Li , et al.
2020-04-07
Collar Formation For Chamfer-less And Chamfered Vias
App 20200105590 - Li; Baozhen ;   et al.
2020-04-02
Advanced Crack Stop Structure
App 20200051930 - Yang; Chih-Chao ;   et al.
2020-02-13
Advanced Crack Stop Structure
App 20200035620 - Yang; Chih-Chao ;   et al.
2020-01-30
Advanced Crack Stop Structure
App 20200035621 - Yang; Chih-Chao ;   et al.
2020-01-30
Advanced Interconnects Containing An Imt Liner
App 20200027829 - Maniscalco; Joseph F. ;   et al.
2020-01-23
Integrated circuit chip reliability qualification using a sample-specific expected fail rate
Grant 10,539,611 - Bickford , et al. Ja
2020-01-21
Hybrid back end of line metallization to balance performance and reliability
Grant 10,534,888 - Li , et al. Ja
2020-01-14
Enhancement Of Iso-via Reliability
App 20200013671 - Clevenger; Lawrence A. ;   et al.
2020-01-09
Low Resistance Contact For Transistors
App 20200013868 - CLEVENGER; LAWRENCE A. ;   et al.
2020-01-09
Semiconductor Via Structure With Lower Electrical Resistance
App 20190371663 - Clevenger; Lawrence A. ;   et al.
2019-12-05
Semiconductor Device And Method Of Forming The Semiconductor Device
App 20190363013 - CLEVENGER; Lawrence A. ;   et al.
2019-11-28
Advanced crack stop structure
Grant 10,490,513 - Yang , et al. Nov
2019-11-26
Dielectric Crack Stop For Advanced Interconnects
App 20190348377 - Li; Baozhen ;   et al.
2019-11-14
Advanced crack stop structure
Grant 10,475,753 - Yang , et al. Nov
2019-11-12
Mim Capacitor For Improved Process Defect Tolerance
App 20190341347 - Li; Baozhen ;   et al.
2019-11-07
Advanced interconnects containing an IMT liner
Grant 10,468,346 - Maniscalco , et al. No
2019-11-05
Low resistance contact for transistors
Grant 10,468,491 - Clevenger , et al. No
2019-11-05
Semiconductor via structure with lower electrical resistance
Grant 10,460,990 - Clevenger , et al. Oc
2019-10-29
Enhancement of iso-via reliability
Grant 10,460,985 - Clevenger , et al. Oc
2019-10-29
Advanced Interconnects Containing An Imt Liner
App 20190311985 - Maniscalco; Joseph F. ;   et al.
2019-10-10
Advanced Crack Stop Structure
App 20190304928 - Yang; Chih-Chao ;   et al.
2019-10-03
Advanced Crack Stop Structure
App 20190304929 - Yang; Chih-Chao ;   et al.
2019-10-03
Beol Thin Film Resistor
App 20190295947 - Kim; Andrew Tae ;   et al.
2019-09-26
Precision Beol Resistors
App 20190280080 - Li; Baozhen ;   et al.
2019-09-12
Graded Interconnect Cap
App 20190273022 - Kim; Andrew Tae ;   et al.
2019-09-05
Dielectric crack stop for advanced interconnects
Grant 10,396,042 - Li , et al. A
2019-08-27
Precision BEOL resistors
Grant 10,361,265 - Li , et al.
2019-07-23
Hybrid Back End Of Line Metallization To Balance Performance And Reliability
App 20190205496 - Li; Baozhen ;   et al.
2019-07-04
Precision BEOL resistors
Grant 10,340,330 - Li , et al.
2019-07-02
Precision BEOL resistors
Grant 10,332,955 - Li , et al.
2019-06-25
Precision beol resistors
Grant 10,332,956 - Li , et al.
2019-06-25
Low Resistance High Capacitance Density Mim Capacitor
App 20190189735 - Li; Baozhen ;   et al.
2019-06-20
Electromigration Test Structures For Void Localization
App 20190162775 - Li; Baozhen ;   et al.
2019-05-30
Dielectric Crack Stop For Advanced Interconnects
App 20190139904 - Li; Baozhen ;   et al.
2019-05-09
Precision Beol Resistors
App 20190115420 - Li; Baozhen ;   et al.
2019-04-18
Precision Beol Resistors
App 20190115419 - Li; Baozhen ;   et al.
2019-04-18
Precision Beol Resistors
App 20190115421 - Li; Baozhen ;   et al.
2019-04-18
Precision Beol Resistors
App 20190115418 - Li; Baozhen ;   et al.
2019-04-18
Three plate MIM capacitor via integrity verification
Grant 10,262,934 - Kim , et al.
2019-04-16
Semiconductor device and method of forming the semiconductor device
Grant 10,256,145 - Clevenger , et al.
2019-04-09
Three plate MIM capacitor via integrity verification
Grant 10,229,873 - Kim , et al.
2019-03-12
Methodology to prevent metal lines from current pulse damage
Grant 10,216,870 - Bickford , et al. Feb
2019-02-26
Protective Liner Between A Gate Dielectric And A Gate Contact
App 20190013238 - Clevenger; Lawrence A. ;   et al.
2019-01-10
Metalization Repair In Semiconductor Wafers
App 20190006248 - Clevenger; Lawrence A. ;   et al.
2019-01-03
Test structures for dielectric reliability evaluations
Grant 10,103,060 - Brochu, Jr. , et al. October 16, 2
2018-10-16
System and method for managing semiconductor manufacturing defects
Grant 10,089,161 - Bickford , et al. October 2, 2
2018-10-02
Interconnect structure with capacitor element and related methods
Grant 10,090,240 - Li , et al. October 2, 2
2018-10-02
Protective liner between a gate dielectric and a gate contact
Grant 10,083,862 - Clevenger , et al. September 25, 2
2018-09-25
Contacts Having A Geometry To Reduce Resistance
App 20180261543 - Clevenger; Lawrence A. ;   et al.
2018-09-13
Interconnect structure having tungsten contact copper wiring
Grant 10,062,647 - Stamper , et al. August 28, 2
2018-08-28
Three Plate Mim Capacitor Via Integrity Verification
App 20180226338 - Kim; Andrew T. ;   et al.
2018-08-09
Three Plate Mim Capacitor Via Integrity Verification
App 20180226339 - Kim; Andrew T. ;   et al.
2018-08-09
Electronic fuse having an insulation layer
Grant 10,020,256 - Burke , et al. July 10, 2
2018-07-10
Contacts having a geometry to reduce resistance
Grant 10,014,255 - Clevenger , et al. July 3, 2
2018-07-03
Method of optimizing wire RC for device performance and reliability
Grant 9,997,408 - Clevenger , et al. June 12, 2
2018-06-12
Method Of Optimizing Wire Rc For Device Performance And Reliability
App 20180158731 - Clevenger; Lawrence A. ;   et al.
2018-06-07
Semiconductor device and method of forming the semiconductor device
Grant 9,966,308 - Clevenger , et al. May 8, 2
2018-05-08
Semiconductor Device And Method Of Forming The Semiconductor Device
App 20180122697 - Clevenger; Lawrence A. ;   et al.
2018-05-03
Compound Resistor Structure For Semiconductor Device
App 20180102318 - Christiansen; Cathryn J. ;   et al.
2018-04-12
Burn-in power performance optimization
Grant 9,940,430 - Bickford , et al. April 10, 2
2018-04-10
Semiconductor Device And Method Of Forming The Semiconductor Device
App 20180096890 - CLEVENGER; Lawrence A. ;   et al.
2018-04-05
Metalization Repair In Semiconductor Wafers
App 20180096858 - Clevenger; Lawrence A. ;   et al.
2018-04-05
Metalization Repair In Semiconductor Wafers
App 20180096902 - Clevenger; Lawrence A. ;   et al.
2018-04-05
Protective Liner Between A Gate Dielectric And A Gate Contact
App 20180076086 - Clevenger; Lawrence A. ;   et al.
2018-03-15
System And Method For Managing Semiconductor Manufacturing Defects
App 20180074874 - Bickford; Jeanne P. S. ;   et al.
2018-03-15
Semiconductor Via Structure With Lower Electrical Resistance
App 20180061707 - Clevenger; Lawrence A. ;   et al.
2018-03-01
Integrated Circuit Chip Reliability Qualification Using A Sample-specific Expected Fail Rate
App 20180052201 - Bickford; Jeanne P. ;   et al.
2018-02-22
Integrated circuit chip reliability qualification using a sample-specific expected fail rate
Grant 9,891,275 - Bickford , et al. February 13, 2
2018-02-13
System and method for managing semiconductor manufacturing defects
Grant 9,880,892 - Bickford , et al. January 30, 2
2018-01-30
Long Channel And Short Channel Vertical Fet Co-integration For Vertical Fet Vtfet
App 20180006025 - Hook; Terence B. ;   et al.
2018-01-04
Interconnect Structure With Capacitor Element And Related Methods
App 20170352619 - Li; Baozhen ;   et al.
2017-12-07
Semiconductor via structure with lower electrical resistance
Grant 9,837,309 - Clevenger , et al. December 5, 2
2017-12-05
Enhancement Of Iso-via Reliability
App 20170316970 - Clevenger; Lawrence A. ;   et al.
2017-11-02
Interconnect Structure Having Tungsten Contact Copper Wiring
App 20170309573 - Stamper; Anthony K. ;   et al.
2017-10-26
On-chip usable life depletion meter and associated method
Grant 9,791,502 - Bickford , et al. October 17, 2
2017-10-17
Optimized wires for resistance or electromigration
Grant 9,768,116 - Clevenger , et al. September 19, 2
2017-09-19
Contacts Having A Geometry To Reduce Resistance
App 20170263557 - Clevenger; Lawrence A. ;   et al.
2017-09-14
Enhancement of iso-via reliability
Grant 9,761,482 - Clevenger , et al. September 12, 2
2017-09-12
Interconnect structure having tungsten contact copper wiring
Grant 9,761,526 - Stamper , et al. September 12, 2
2017-09-12
Electromigration test structure for Cu barrier integrity and blech effect evaluations
Grant 9,759,766 - Bonilla , et al. September 12, 2
2017-09-12
Interconnect Structure Having Tungsten Contact Copper Wiring
App 20170221831 - Stamper; Anthony K. ;   et al.
2017-08-03
Resistance Measurement-dependent Integrated Circuit Chip Reliability Estimation
App 20170212165 - Bickford; Jeanne P. ;   et al.
2017-07-27
Optimized wires for resistance or electromigration
Grant 9,711,452 - Clevenger , et al. July 18, 2
2017-07-18
Methodology To Prevent Metal Lines From Current Pulse Damage
App 20170199949 - BICKFORD; JEANNE P. S. ;   et al.
2017-07-13
Electromigration Test Structure For Cu Barrier Integrity And Blech Effect Evaluations
App 20170176514 - BONILLA; GRISELDA ;   et al.
2017-06-22
Optimized wires for resistance or electromigration
Grant 9,685,407 - Clevenger , et al. June 20, 2
2017-06-20
Burn-in Power Performance Optimization
App 20170161426 - Bickford; Jeanne P. ;   et al.
2017-06-08
Semiconductor Via Structure With Lower Electrical Resistance
App 20170148673 - Clevenger; Lawrence A. ;   et al.
2017-05-25
Structure And Process For W Contacts
App 20170148675 - Edelstein; Daniel C. ;   et al.
2017-05-25
Structure And Process For W Contacts
App 20170148736 - Edelstein; Daniel C. ;   et al.
2017-05-25
Structure and process for W contacts
Grant 9,659,817 - Edelstein , et al. May 23, 2
2017-05-23
Structure and process for W contacts
Grant 9,653,403 - Edelstein , et al. May 16, 2
2017-05-16
Integrated circuit chip reliability using reliability-optimized failure mechanism targeting
Grant 9,639,645 - Bickford , et al. May 2, 2
2017-05-02
System and method for identifying operating temperatures and modifying of integrated circuits
Grant 9,625,325 - Bickford , et al. April 18, 2
2017-04-18
Method Of Optimizing Wire Rc For Device Performance And Reliability
App 20170098577 - Clevenger; Lawrence A. ;   et al.
2017-04-06
Dual damascene structure with liner
Grant 9,576,880 - Li , et al. February 21, 2
2017-02-21
Interconnect structure
Grant 9,570,389 - Badami , et al. February 14, 2
2017-02-14
Electrical fuse with metal line migration
Grant 9,548,270 - Li , et al. January 17, 2
2017-01-17
Integrated Circuit Chip Reliability Qualification Using A Sample-specific Expected Fail Rate
App 20160377674 - Bickford; Jeanne P. ;   et al.
2016-12-29
Optimized Wires For Resistance Or Electromigration
App 20160379927 - CLEVENGER; Lawrence A. ;   et al.
2016-12-29
Optimized Wires For Resistance Or Electromigration
App 20160379877 - CLEVENGER; Lawrence A. ;   et al.
2016-12-29
Test Structures For Dielectric Reliability Evaluations
App 20160372389 - Brochu, JR.; David G. ;   et al.
2016-12-22
Integrated Circuit Chip Reliability Using Reliability-optimized Failure Mechanism Targeting
App 20160371413 - Bickford; Jeanne P. ;   et al.
2016-12-22
Interconnect structure
Grant 9,514,981 - Badami , et al. December 6, 2
2016-12-06
Reliability-optimized selective voltage binning
Grant 9,489,482 - Bickford , et al. November 8, 2
2016-11-08
On-chip Usable Life Depletion Meter And Associated Method
App 20160320214 - Bickford; Jeanne P. ;   et al.
2016-11-03
Electromigration test structure for Cu barrier integrity and blech effect evaluations
Grant 9,472,477 - Bonilla , et al. October 18, 2
2016-10-18
Systems And Methods To Prevent Incorporation Of A Used Integrated Circuit Chip Into A Product
App 20160238653 - Bickford; Jeanne P. ;   et al.
2016-08-18
System And Method For Identifying Operating Temperatures And Modifying Of Integrated Circuits
App 20160240479 - Bickford; Jeanne P. ;   et al.
2016-08-18
Structure and process for W contacts
Grant 9,406,617 - Edelstein , et al. August 2, 2
2016-08-02
Optimized Wires For Resistance Or Electromigration
App 20160163651 - CLEVENGER; Lawrence A. ;   et al.
2016-06-09
Semiconductor devices with enhanced electromigration performance
Grant 9,362,229 - Gambino , et al. June 7, 2
2016-06-07
System integrator and system integration method with reliability optimized integrated circuit chip selection
Grant 9,354,953 - Bickford , et al. May 31, 2
2016-05-31
Dual damascene structure with liner
Grant 9,312,203 - Li , et al. April 12, 2
2016-04-12
Determining appropriateness of sampling integrated circuit test data in the presence of manufacturing variations
Grant 9,287,185 - Bonilla , et al. March 15, 2
2016-03-15
System Integrator And System Integration Method With Reliability Optimized Integrated Circuit Chip Selection
App 20160026517 - Bickford; Jeanne P. ;   et al.
2016-01-28
Updating Reliability Predictions Using Manufacturing Assessment Data
App 20160019328 - Bickford; Jeanne P. ;   et al.
2016-01-21
Electrical Fuse With Metal Line Migration
App 20160005690 - LI; BAOZHEN ;   et al.
2016-01-07
Method to improve fine Cu line reliability in an integrated circuit device
Grant 9,224,640 - Burke , et al. December 29, 2
2015-12-29
Enhancement Of Iso-via Reliability
App 20150364365 - Clevenger; Lawrence A. ;   et al.
2015-12-17
Copper interconnect structures and methods of making same
Grant 9,159,653 - Yang , et al. October 13, 2
2015-10-13
Enhancement Of Iso-via Reliability
App 20150255388 - Clevenger; Lawrence A. ;   et al.
2015-09-10
Programmable electrical fuse
Grant 9,129,964 - Coyner , et al. September 8, 2
2015-09-08
System And Method For Managing Semiconductor Manufacturing Defects
App 20150241511 - Bickford; Jeanne P. S. ;   et al.
2015-08-27
Semiconductor device reliability model and methodologies for use thereof
Grant 9,064,087 - Bickford , et al. June 23, 2
2015-06-23
In-situ computing system failure avoidance
Grant 9,058,250 - Bickford , et al. June 16, 2
2015-06-16
Electronic Fuse Having An Insulation Layer
App 20150130019 - Burke; Chad M. ;   et al.
2015-05-14
Dielectric reliability assessment for advanced semiconductors
Grant 9,026,981 - Li , et al. May 5, 2
2015-05-05
Semiconductor Device Reliability Model And Methodologies For Use Thereof
App 20150106780 - BICKFORD; Jeanne P. ;   et al.
2015-04-16
Electronic fuse having an insulation layer
Grant 8,999,767 - Burke , et al. April 7, 2
2015-04-07
Electrical fuse and method of making the same
Grant 8,952,486 - Yang , et al. February 10, 2
2015-02-10
Dual Damascene Structure With Liner
App 20150035155 - Li; Baozhen ;   et al.
2015-02-05
Semiconductor Devices With Enhanced Electromigration Performance
App 20150035158 - GAMBINO; Jeffrey P. ;   et al.
2015-02-05
In-situ Computing System Failure Avoidance
App 20150033081 - Bickford; Jeanne P.S. ;   et al.
2015-01-29
Semiconductor device reliability model and methodologies for use thereof
Grant 8,943,444 - Bickford , et al. January 27, 2
2015-01-27
Remote Electromigration Monitoring Of Electronic Chips
App 20150016486 - Hutcheon; Graeme A. ;   et al.
2015-01-15
Electromigration resistant via-to-line interconnect
Grant 8,922,022 - Li , et al. December 30, 2
2014-12-30
Electromigration resistant via-to-line interconnect
Grant 08922022 -
2014-12-30
Semiconductor Device Reliability Model And Methodologies For Use Thereof
App 20140380261 - BICKFORD; Jeanne P. ;   et al.
2014-12-25
Method of manufacturing an enhanced electromigration performance hetero-junction bipolar transistor
Grant 8,901,738 - Gambino , et al. December 2, 2
2014-12-02
Dielectric Reliability Assessment For Advanced Semiconductors
App 20140351785 - LI; BAOZHEN ;   et al.
2014-11-27
Electrical fuses and methods of making electrical fuses
Grant 8,896,090 - Hogle , et al. November 25, 2
2014-11-25
Programmable Electrical Fuse
App 20140319650 - Coyner; Jason ;   et al.
2014-10-30
Copper Interconnect Structures And Methods Of Making Same
App 20140264878 - Yang; Chih-Chao ;   et al.
2014-09-18
Remote Electromigration Monitoring Of Electronic Chips
App 20140278247 - Hutcheon; Graeme A. ;   et al.
2014-09-18
Dielectric reliability assessment for advanced semiconductors
Grant 8,839,180 - Li , et al. September 16, 2
2014-09-16
Electrical Fuses And Methods Of Making Electrical Fuses
App 20140239439 - Hogle; Nicholas R. ;   et al.
2014-08-28
Interconnect structure with an electromigration and stress migration enhancement liner
Grant 8,802,559 - Yang , et al. August 12, 2
2014-08-12
Copper interconnect structures and methods of making same
Grant 8,802,558 - Yang , et al. August 12, 2
2014-08-12
Electronic Fuse Having An Insulation Layer
App 20140210041 - Burke; Chad M. ;   et al.
2014-07-31
Silicide Formation in High-Aspect Ratio Structures
App 20140206190 - LI; BAOZHEN ;   et al.
2014-07-24
3D via capacitor with a floating conductive plate for improved reliability
Grant 8,779,491 - Yang , et al. July 15, 2
2014-07-15
Dual Damascene Structure With Liner
App 20140183739 - Li; Baozhen ;   et al.
2014-07-03
Interconnect Structure With An Electromigration And Stress Migration Enhancement Liner
App 20140162450 - Yang; Chih-Chao ;   et al.
2014-06-12
Stackable programmable passive device and a testing method
Grant 8,749,293 - Chen , et al. June 10, 2
2014-06-10
Semiconductor Devices With Enhanced Electromigration Performance
App 20140131878 - Gambino; Jeffrey P. ;   et al.
2014-05-15
Method and system to predict a number of electromigration critical elements
Grant 8,726,201 - Bickford , et al. May 13, 2
2014-05-13
Copper Interconnect Structures And Methods Of Making Same
App 20140124933 - Yang; Chih-Chao ;   et al.
2014-05-08
Interconnect structure with an electromigration and stress migration enhancement liner
Grant 8,659,156 - Yang , et al. February 25, 2
2014-02-25
Method To Improve Fine Cu Line Reliability In An Integrated Circuit Device
App 20140048927 - Burke; Chad M. ;   et al.
2014-02-20
Integrated circuit design method and system
Grant 8,656,325 - Barwin , et al. February 18, 2
2014-02-18
Semiconductor switching device and method of making the same
Grant 8,642,460 - Yang , et al. February 4, 2
2014-02-04
3D via capacitor with a floating conductive plate for improved reliability
Grant 8,609,504 - Yang , et al. December 17, 2
2013-12-17
Self-aligned Metal-insulator-metal (mim) Capacitor
App 20130328167 - Yang; Chih-Chao ;   et al.
2013-12-12
Integrated Circuit Design Method And System
App 20130185684 - Barwin; John E. ;   et al.
2013-07-18
3d Via Capacitor With A Floating Conductive Plate For Improved Reliability
App 20130161791 - Yang; Chih-Chao ;   et al.
2013-06-27
3d Via Capacitor With A Floating Conductive Plate For Improved Reliability
App 20130164905 - Yang; Chih-Chao ;   et al.
2013-06-27
Interconnect Structure With An Electromigration and Stress Migration Enhancement Liner
App 20130093089 - YANG; Chih-Chao ;   et al.
2013-04-18
Electrically programmable metal fuse
Grant 8,421,186 - Li , et al. April 16, 2
2013-04-16
3D via capacitor with a floating conductive plate for improved reliability
Grant 8,405,135 - Yang , et al. March 26, 2
2013-03-26
Electrical Fuse With Metal Line Migration
App 20130071998 - Li; Baozhen ;   et al.
2013-03-21
Semiconductor Switching Device And Method Of Making The Same
App 20120313194 - Yang; Chih-Chao ;   et al.
2012-12-13
Electrically Programmable Metal Fuse
App 20120306048 - Li; Baozhen ;   et al.
2012-12-06
Stackable programmable passive device and a testing method
Grant 8,294,505 - Chen , et al. October 23, 2
2012-10-23
Stackable Programmable Passive Device And A Testing Method
App 20120261724 - Chen; Fen ;   et al.
2012-10-18
Electrical Fuse And Method Of Making The Same
App 20120261793 - Yang; Chih-Chao ;   et al.
2012-10-18
Determining critical current density for interconnect
Grant 8,232,809 - Burke , et al. July 31, 2
2012-07-31
Electromigration Resistant Via-to-line Interconnect
App 20120119366 - Li; Baozhen ;   et al.
2012-05-17
3d Via Capacitor With A Floating Conductive Plate For Improved Reliability
App 20120080771 - Yang; Chih-Chao ;   et al.
2012-04-05
Electromigration resistant via-to-line interconnect
Grant 8,114,768 - Li , et al. February 14, 2
2012-02-14
Method And System To Predict A Number Of Electromigration Critical Elements
App 20110283249 - BICKFORD; Jeanne P. ;   et al.
2011-11-17
Programming of laser fuse
Grant 7,981,732 - Badami , et al. July 19, 2
2011-07-19
Structures and methods to enhance Cu interconnect electromigration (EM) performance
Grant 7,981,771 - Li July 19, 2
2011-07-19
Hybrid metallic wire and methods of fabricating same
Grant 7,955,971 - Yang , et al. June 7, 2
2011-06-07
Determining Critical Current Density For Interconnect
App 20110115508 - Burke; Chad M. ;   et al.
2011-05-19
Hybrid Metallic Wire And Methods Of Fabricating Same
App 20100314764 - Yang; Chih-Chao ;   et al.
2010-12-16
Via bottom contact and method of manufacturing same
Grant 7,830,019 - Chanda , et al. November 9, 2
2010-11-09
Interconnect With Recessed Dielectric Adjacent A Noble Metal Cap
App 20100176514 - Yang; Chih-Chao ;   et al.
2010-07-15
Electromigration Resistant Via-to-line Interconnect
App 20100164116 - Li; Baozhen ;   et al.
2010-07-01
Interconnect with recessed dielectric adjacent a noble metal cap
Grant 7,745,324 - Yang , et al. June 29, 2
2010-06-29
Structure for modeling stress-induced degradation of conductive interconnects
Grant 7,692,439 - Chanda , et al. April 6, 2
2010-04-06
Suppression of localized metal precipitate formation and corresponding metallization depletion in semiconductor processing
Grant 7,649,262 - Chapple-Sokol , et al. January 19, 2
2010-01-19
Structure for monitoring stress-induced degradation of conductive interconnects
Grant 7,639,032 - Chanda , et al. December 29, 2
2009-12-29
Structures and Methods to Enhance CU Interconnect Electromigration (EM) Performance
App 20090302476 - Li; Baozhen
2009-12-10
Suppression Of Localized Metal Precipitate Formation And Corresponding Metallization Depletion In Semiconductor Processing
App 20090294968 - Chapple-Sokol; Jonathan D. ;   et al.
2009-12-03
VIA bottom contact and method of manufacturing same
Grant 7,585,764 - Chanda , et al. September 8, 2
2009-09-08
Via Bottom Contact And Method Of Manufacturing Same
App 20090200673 - Chanda; Kaushik ;   et al.
2009-08-13
Suppression of localized metal precipitate formation and corresponding metallization depletion in semiconductor processing
Grant 7,572,650 - Chapple-Sokol , et al. August 11, 2
2009-08-11
Non-destructive evaluation of microstructure and interface roughness of electrically conducting lines in semiconductor integrated circuits in deep sub-micron regime
Grant 7,500,208 - Chen , et al. March 3, 2
2009-03-03
Slots To Reduce Electromigration Failure In Back End Of Line Structure
App 20090014884 - Li; Baozhen
2009-01-15
Structure for modeling stress-induced degradation of conductive interconnects
App 20080231312 - Chanda; Kaushik ;   et al.
2008-09-25
Programming Of Laser Fuse
App 20080194064 - Badami; Dinesh A. ;   et al.
2008-08-14
Structure and method for monitoring stress-induced degradation of conductive interconnects
Grant 7,397,260 - Chanda , et al. July 8, 2
2008-07-08
Structure and programming of laser fuse
Grant 7,384,824 - Badami , et al. June 10, 2
2008-06-10
Method for monitoring stress-induced degradation of conductive interconnects
App 20080107149 - Chanda; Kaushik ;   et al.
2008-05-08
Microelectronic Structure Including High Current Density Resistor
App 20070284662 - Chinthakindi; Anil K. ;   et al.
2007-12-13
Determination of grain sizes of electrically conductive lines in semiconductor integrated circuits
Grant 7,231,617 - Chen , et al. June 12, 2
2007-06-12
Non-destructive Evaluation Of Microstructure And Interface Roughness Of Electrically Conducting Lines In Semiconductor Integrated Circuits In Deep Sub-micron Regime
App 20070130551 - Chen; Fen ;   et al.
2007-06-07
Structure And Method For Monitoring Stress-induced Degradation Of Conductive Interconnects
App 20070115018 - Chanda; Kaushik ;   et al.
2007-05-24
Stackable Programmable Passive Device And A Testing Method
App 20070103228 - Chen; Fen ;   et al.
2007-05-10
Suppression Of Localized Metal Precipitate Formation And Corresponding Metallization Depletion In Semiconductor Processing
App 20070040277 - Chapple-Sokol; Jonathan D. ;   et al.
2007-02-22
Via Bottom Contact And Method Of Manufacturing Same
App 20070037403 - Chanda; Kaushik ;   et al.
2007-02-15
Suppression of localized metal precipitate formation and corresponding metallization depletion in semiconductor processing
Grant 7,173,338 - Chapple-Sokol , et al. February 6, 2
2007-02-06
Copper to aluminum interlayer interconnect using stud and via liner
Grant 7,087,997 - Burrell , et al. August 8, 2
2006-08-08
Structure and programming of laser fuse
App 20060145291 - Badami; Dinesh A. ;   et al.
2006-07-06
Structure and programming of laser fuse
Grant 7,064,409 - Badami , et al. June 20, 2
2006-06-20
Copper to aluminum interlayer interconnect using stud and via liner
Grant 7,037,824 - Burrell , et al. May 2, 2
2006-05-02
Non-destructive Evaluation Of Microstructure And Interface Roughness Of Electrically Conducting Lines In Semiconductor Integrated Circuits In Deep Sub-micron Regime
App 20060071676 - Chen; Fen ;   et al.
2006-04-06
Liner with improved electromigration redundancy for damascene interconnects
Grant 6,992,390 - Edelstein , et al. January 31, 2
2006-01-31
Suppression Of Localized Metal Precipitate Formation And Corresponding Metallization Depletion In Semiconductor Processing
App 20050194689 - Chapple-Sokol, Jonathan D. ;   et al.
2005-09-08
Liner with improved electromigration redundancy for damascene interconnects
App 20050098897 - Edelstein, Daniel C. ;   et al.
2005-05-12
Structure And Programming Of Laser Fuse
App 20050093091 - Badami, Dinesh A. ;   et al.
2005-05-05
Copper to aluminum interlayer interconnect using stud and via liner
App 20040207092 - Burrell, Lloyd G. ;   et al.
2004-10-21
Applications of space-charge-limited conduction induced current increase in nitride-oxide dielectric capacitors: voltage regulator for power supply system and others
Grant 6,794,706 - Chen , et al. September 21, 2
2004-09-21
Negative differential resistance reoxidized nitride silicon-based photodiode and method
Grant 6,743,655 - Chen , et al. June 1, 2
2004-06-01
Applications of space-charge-limited conduction induced current increase in nitride-oxide dielectric capacitors: voltage regulator for power supply system and others
App 20040012046 - Chen, Fen ;   et al.
2004-01-22
Negative differential resistance reoxidized nitride silicon-based photodiode and method
App 20020185703 - Chen, Fen ;   et al.
2002-12-12
Copper to aluminum interlayer interconnect using stud and via liner
App 20020127846 - Burrell, Lloyd G. ;   et al.
2002-09-12
Lanthanum manganite-based air electrode for solid oxide fuel cells
Grant 5,916,700 - Ruka , et al. June 29, 1
1999-06-29

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed