loadpatents
name:-0.33657503128052
name:-0.53747797012329
name:-0.65699601173401
Lee; Choonghyun Patent Filings

Lee; Choonghyun

Patent Applications and Registrations

Patent applications and USPTO patent grants for Lee; Choonghyun.The latest application filed is for "integrated circuit device and method of manufacturing the same".

Company Profile
200.200.200
  • Lee; Choonghyun - Rensselaer NY
  • Lee; Choonghyun - Seoul KR
  • Lee; Choonghyun - Kanagawa JP
  • Lee; ChoongHyun - Chigasaki JP
  • Lee; Choonghyun - Albany NY
  • Lee; ChoongHyun - Renssalaer NY
  • LEE; Choonghyun - Hwaseong-si KR
  • - Rensselaer NY US
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Asymmetric threshold voltages in semiconductor devices
Grant 11,444,165 - Ando , et al. September 13, 2
2022-09-13
Setting an upper bound on RRAM resistance
Grant 11,430,514 - Kim , et al. August 30, 2
2022-08-30
Confined work function material for gate-all around transistor devices
Grant 11,430,660 - Zhang , et al. August 30, 2
2022-08-30
Vertical fin field effect transistor devices with self-aligned source and drain junctions
Grant 11,424,343 - Cheng , et al. August 23, 2
2022-08-23
Integrated Circuit Device And Method Of Manufacturing The Same
App 20220262728 - Lee; Choonghyun ;   et al.
2022-08-18
Wimpy vertical transport field effect transistor with dipole liners
Grant 11,404,581 - Reznicek , et al. August 2, 2
2022-08-02
Setting An Upper Bound On Rram Resistance
App 20220223205 - Kim; Youngseok ;   et al.
2022-07-14
Multi threshold voltage for nanosheet
Grant 11,387,342 - Zhang , et al. July 12, 2
2022-07-12
Vertical fin field effect transistor devices with self-aligned source and drain junctions
Grant 11,380,778 - Cheng , et al. July 5, 2
2022-07-05
Wimpy Vertical Transport Field Effect Transistor With Dipole Liners
App 20220199834 - Reznicek; Alexander ;   et al.
2022-06-23
Multi Threshold Voltage For Nanosheet
App 20220199796 - Zhang; Jingyun ;   et al.
2022-06-23
Inverse T-shaped contact structures having air gap spacers
Grant 11,362,193 - Cheng , et al. June 14, 2
2022-06-14
Integrated circuit device and method of manufacturing the same
Grant 11,362,031 - Lee , et al. June 14, 2
2022-06-14
Nanosheet transistor having abrupt junctions between the channel nanosheets and the source/drain extension regions
Grant 11,355,649 - Lee , et al. June 7, 2
2022-06-07
Resistive random access memory integrated under a vertical field effect transistor
Grant 11,355,553 - Reznicek , et al. June 7, 2
2022-06-07
Vertical Transport Cmos Transistors With Asymmetric Threshold Voltage
App 20220165850 - Ando; Takashi ;   et al.
2022-05-26
Resistive Memory Array
App 20220165947 - Kim; Youngseok ;   et al.
2022-05-26
Homogeneous densification of fill layers for controlled reveal of vertical fins
Grant 11,342,230 - Cheng , et al. May 24, 2
2022-05-24
Buried Power Rail For Scaled Vertical Transport Field Effect Transistor
App 20220148969 - Xie; Ruilong ;   et al.
2022-05-12
Nanosheet transistors with thin inner spacers and tight pitch gate
Grant 11,329,143 - Cheng , et al. May 10, 2
2022-05-10
Contact source/drain resistance
Grant 11,322,588 - Lie , et al. May 3, 2
2022-05-03
Forming shallow trench isolation regions for nanosheet field-effect transistor devices using sacrificial epitaxial layer
Grant 11,322,408 - Loubet , et al. May 3, 2
2022-05-03
Oxygen Vacancy Passivation In High-k Dielectrics For Vertical Transport Field Effect Transistor
App 20220130732 - Lee; Choonghyun ;   et al.
2022-04-28
Silicon germanium FinFET with low gate induced drain leakage current
Grant 11,316,015 - Mochizuki , et al. April 26, 2
2022-04-26
Gate-all-around Field-effect Transistor With Asymmetric Threshold Voltage
App 20220123144 - Zhang; Jingyun ;   et al.
2022-04-21
Method and structure for forming a vertical field-effect transistor
Grant 11,302,799 - Xu , et al. April 12, 2
2022-04-12
Wrap around contact for nanosheet source drain epitaxy
Grant 11,302,813 - Reznicek , et al. April 12, 2
2022-04-12
Vertical Transport Field-effect Transistors Having Germanium Channel Surfaces
App 20220093473 - Lee; Choonghyun ;   et al.
2022-03-24
Heterojunction bipolar transistor with a silicon oxide layer on a silicon germanium base
Grant 11,282,947 - Ok , et al. March 22, 2
2022-03-22
Multi-threshold Voltage Gate-all-around Transistors
App 20220085014 - ZHANG; Jingyun ;   et al.
2022-03-17
Replacement metal gate process for vertical transport field-effect transistor with self-aligned shared contacts
Grant 11,271,106 - Bao , et al. March 8, 2
2022-03-08
Heterojunction Bipolar Transistor With A Silicon Oxide Layer On A Silicon Germanium Base
App 20220069109 - Ok; Injo ;   et al.
2022-03-03
Replacement metal gate process for vertical transport field-effect transistors with multiple threshold voltages
Grant 11,257,721 - Bao , et al. February 22, 2
2022-02-22
Fin field-effect transistors with enhanced strain and reduced parasitic capacitance
Grant 11,257,934 - Cheng , et al. February 22, 2
2022-02-22
Oxygen vacancy passivation in high-k dielectrics for vertical transport field effect transistor
Grant 11,251,094 - Lee , et al. February 15, 2
2022-02-15
Approach to control over-etching of bottom spacers in vertical fin field effect transistor devices
Grant 11,251,285 - Bao , et al. February 15, 2
2022-02-15
Uniform Interfacial Layer On Vertical Fin Sidewalls Of Vertical Transport Field-effect Transistors
App 20220045196 - Mochizuki; Shogo ;   et al.
2022-02-10
Gate last vertical transport field effect transistor
Grant 11,245,025 - Lee , et al. February 8, 2
2022-02-08
Maskless top source/drain epitaxial growth on vertical transport field effect transistor
Grant 11,244,870 - Lee , et al. February 8, 2
2022-02-08
Fabricating a gate-all-around (GAA) field effect transistor having threshold voltage asymmetry by thinning source side lateral end portion of the nanosheet layer
Grant 11,239,359 - Zhang , et al. February 1, 2
2022-02-01
Uniform interfacial layer on vertical fin sidewalls of vertical transport field-effect transistors
Grant 11,227,937 - Mochizuki , et al. January 18, 2
2022-01-18
Self-limiting Liners For Increasing Contact Trench Volume In N-type And P-type Transistors
App 20220005735 - Cheng; Kangguo ;   et al.
2022-01-06
Device with pure silicon oxide layer on silicon-germanium layer
Grant 11,217,450 - Ando , et al. January 4, 2
2022-01-04
Using selectively formed cap layers to form self-aligned contacts to source/drain regions
Grant 11,211,462 - Park , et al. December 28, 2
2021-12-28
Fabrication of field effect transistors with different threshold voltages through modified channel interfaces
Grant 11,211,379 - Ando , et al. December 28, 2
2021-12-28
Vertical field effect transistor with reduced parasitic capacitance
Grant 11,205,728 - Lee , et al. December 21, 2
2021-12-21
Vertical field effect transistor and method of manufacturing a vertical field effect transistor
Grant 11,201,241 - Lee , et al. December 14, 2
2021-12-14
Gate channel length control in VFET
Grant 11,201,092 - Ok , et al. December 14, 2
2021-12-14
Inner spacer for nanosheet transistors
Grant 11,195,912 - Cheng , et al. December 7, 2
2021-12-07
Vertical transport field-effect transistors having germanium channel surfaces
Grant 11,195,764 - Lee , et al. December 7, 2
2021-12-07
Dual Damascene Crossbar Array For Disabling A Defective Resistive Switching Device In The Array
App 20210375389 - Maniscalco; Joseph F. ;   et al.
2021-12-02
FinFET 2T2R RRAM
Grant 11,189,661 - Reznicek , et al. November 30, 2
2021-11-30
Self-limiting liners for increasing contact trench volume in n-type and p-type transistors
Grant 11,183,430 - Cheng , et al. November 23, 2
2021-11-23
Formation of air gap spacers for reducing parasitic capacitance
Grant 11,183,577 - Cheng , et al. November 23, 2
2021-11-23
Fabrication of field effect transistors with different threshold voltages through modified channel interfaces
Grant 11,177,257 - Ando , et al. November 16, 2
2021-11-16
Structure And Method To Fabricate Resistive Memory With Vertical Pre-determined Filament
App 20210343938 - PARK; Chanro ;   et al.
2021-11-04
Replacement bottom electrode structure process to form misalignment tolerate MRAM with high yield
Grant 11,165,017 - Hashemi , et al. November 2, 2
2021-11-02
Vertical FET with asymmetric threshold voltage and channel thicknesses
Grant 11,158,715 - Lee , et al. October 26, 2
2021-10-26
Long channel optimization for gate-all-around transistors
Grant 11,152,510 - Zhang , et al. October 19, 2
2021-10-19
Gate-last process for vertical transport field-effect transistor
Grant 11,145,555 - Mochizuki , et al. October 12, 2
2021-10-12
Vertical fin-type bipolar junction transistor with self-aligned base contact
Grant 11,139,380 - Lee , et al. October 5, 2
2021-10-05
Replacement-channel Fabrication Of Iii-v Nanosheet Devices
App 20210305407 - Zhang; Jingyun ;   et al.
2021-09-30
Multi-threshold voltage gate-all-around transistors
Grant 11,133,309 - Zhang , et al. September 28, 2
2021-09-28
Nanosheet P-type transistor with oxygen reservoir
Grant 11,133,305 - Ando , et al. September 28, 2
2021-09-28
Gate-all-around transistor structure
Grant 11,121,218 - Zhang , et al. September 14, 2
2021-09-14
Lateral semiconductor nanotube with hexagonal shape
Grant 11,120,991 - Li , et al. September 14, 2
2021-09-14
Stacked nanosheets with self-aligned inner spacers and metallic source/drain
Grant 11,121,232 - Lee , et al. September 14, 2
2021-09-14
Using Selectively Formed Cap Layers To Form Self-aligned Contacts To Source/drain Regions
App 20210280690 - Park; Chanro ;   et al.
2021-09-09
Vertical Field Effect Transistor With Low-resistance Bottom Source-drain Contact
App 20210273115 - Lee; Choonghyun ;   et al.
2021-09-02
Nanosheet transistors with different gate dielectrics and workfunction metals
Grant 11,101,182 - Cheng , et al. August 24, 2
2021-08-24
Structure and method to fabricate resistive memory with vertical pre-determined filament
Grant 11,094,883 - Park , et al. August 17, 2
2021-08-17
Oxide isolated fin-type field-effect transistors
Grant 11,094,801 - Bao , et al. August 17, 2
2021-08-17
Oxygen Vacancy Passivation In High-k Dielectrics For Vertical Transport Field Effect Transistor
App 20210249315 - Lee; Choonghyun ;   et al.
2021-08-12
Asymmetric threshold voltage VTFET with intrinsic dual channel epitaxy
Grant 11,088,139 - Lee , et al. August 10, 2
2021-08-10
Channel strain formation in vertical transport FETS with dummy stressor materials
Grant 11,088,279 - Lee , et al. August 10, 2
2021-08-10
Source/drain for gate-all-around devices
Grant 11,081,404 - Zhang , et al. August 3, 2
2021-08-03
Replacement-channel fabrication of III-V nanosheet devices
Grant 11,081,567 - Zhang , et al. August 3, 2
2021-08-03
Stacked Resistive Memory With Individual Switch Control
App 20210233960 - Ando; Takashi ;   et al.
2021-07-29
Threshold Voltage Adjustment By Inner Spacer Material Selection
App 20210233818 - Ando; Takashi ;   et al.
2021-07-29
Nanosheet with buried gate contact
Grant 11,075,301 - Zhang , et al. July 27, 2
2021-07-27
Nanosheet electrostatic discharge structure
Grant 11,075,273 - Reznicek , et al. July 27, 2
2021-07-27
Techniques for enhancing vertical gate-all-around FET performance
Grant 11,069,686 - Ok , et al. July 20, 2
2021-07-20
Nanosheet transistors with different gate dielectrics and workfunction metals
Grant 11,069,577 - Cheng , et al. July 20, 2
2021-07-20
Self-limiting fin spike removal
Grant 11,063,129 - Cheng , et al. July 13, 2
2021-07-13
Vertical transistors with top spacers
Grant 11,063,134 - Zhang , et al. July 13, 2
2021-07-13
Vertical transistors having uniform channel length
Grant 11,062,955 - Lee , et al. July 13, 2
2021-07-13
Forming bottom source and drain extension on vertical transport FET (VTFET)
Grant 11,063,147 - Mochizuki , et al. July 13, 2
2021-07-13
Vertical Field Effect Transistor And Method Of Manufacturing A Vertical Field Effect Transistor
App 20210210634 - Lee; Choonghyun ;   et al.
2021-07-08
Nanosheet With Buried Gate Contact
App 20210202749 - Zhang; Jingyun ;   et al.
2021-07-01
Tri-layer Sti Liner For Nanosheet Leakage Control
App 20210202325 - Lee; Choonghyun ;   et al.
2021-07-01
Long channel nanosheet FET having tri-layer spacers
Grant 11,049,979 - Miao , et al. June 29, 2
2021-06-29
Wrap Around Contact For Nanosheet Source Drain Epitaxy
App 20210193829 - Reznicek; Alexander ;   et al.
2021-06-24
Vertical field effect transistor with low-resistance bottom source-drain contact
Grant 11,043,598 - Lee , et al. June 22, 2
2021-06-22
Complementary Metal Oxide Semiconductor Device Having Fin Field Effect Transistors With A Common Metal Gate
App 20210183710 - Ando; Takashi ;   et al.
2021-06-17
Stacked resistive memory with individual switch control
Grant 11,037,986 - Ando , et al. June 15, 2
2021-06-15
Vertical nano-wire complimentary metal-oxide-semiconductor transistor with cylindrical III-V compound and germanium channel
Grant 11,038,064 - Ok , et al. June 15, 2
2021-06-15
Threshold voltage adjustment by inner spacer material selection
Grant 11,037,832 - Ando , et al. June 15, 2
2021-06-15
Resistive Random Access Memory Integrated Under A Vertical Field Effect Transistor
App 20210175285 - Reznicek; Alexander ;   et al.
2021-06-10
Gate cap last for self-aligned contact
Grant 11,031,295 - Park , et al. June 8, 2
2021-06-08
Vertical FET with differential top spacer
Grant 11,024,724 - Ando , et al. June 1, 2
2021-06-01
Asymmetric channel threshold voltage
Grant 11,024,740 - Lee , et al. June 1, 2
2021-06-01
Multivalent oxide cap for multiple work function gate stacks on high mobility channel materials
Grant 11,018,062 - Ando , et al. May 25, 2
2021-05-25
Reduction of metal resistance in vertical ReRAM cells
Grant 11,018,192 - Ando , et al. May 25, 2
2021-05-25
Resistive Random-access Memory
App 20210151670 - Cheng; Kangguo ;   et al.
2021-05-20
Nanosheet Transistor Having Abrupt Junctions Between The Channel Nanosheets And The Source/drain Extension Regions
App 20210151608 - Lee; Choonghyun ;   et al.
2021-05-20
Gate-all-around Transistor Structure
App 20210151566 - Zhang; Jingyun ;   et al.
2021-05-20
Long Channel Nanosheet Fet Having Tri-layer Spacers
App 20210151607 - Miao; Xin ;   et al.
2021-05-20
Polymer composite strengthened with carbon fiber surface-modified by plasma treatment and method for producing polymer composite
Grant 11,008,428 - Lim , et al. May 18, 2
2021-05-18
Formation of a partial air-gap spacer
Grant 11,011,617 - Lee , et al. May 18, 2
2021-05-18
Vertical transport field-effect transistor (VFET) with dual top spacer
Grant 11,011,624 - Mochizuki , et al. May 18, 2
2021-05-18
Structure And Method To Fabricate Resistive Memory With Vertical Pre-determined Filament
App 20210135108 - PARK; Chanro ;   et al.
2021-05-06
Gate Stack Quality For Gate-all-around Field-effect Transistors
App 20210126018 - Zhang; Jingyun ;   et al.
2021-04-29
Methods and structures for cutting lines or spaces in a tight pitch structure
Grant 10,991,584 - Xu , et al. April 27, 2
2021-04-27
Vertical vacuum channel transistor
Grant 10,991,537 - Ok , et al. April 27, 2
2021-04-27
Bottom Spacer Structure For Vertical Field Effect Transistor And Method Of Forming Same
App 20210119020 - Mochizuki; Shogo ;   et al.
2021-04-22
Confined Work Function Material For Gate-all Around Transistor Devices
App 20210118683 - Zhang; Jingyun ;   et al.
2021-04-22
Silicon Germanium Finfet With Low Gate Induced Drain Leakage Current
App 20210118998 - Mochizuki; Shogo ;   et al.
2021-04-22
Vertical Fin Field Effect Transistor Devices With Reduced Top Source/drain Variability And Lower Resistance
App 20210119019 - Cheng; Kangguo ;   et al.
2021-04-22
Vertical field-effect transistor including a fin having sidewalls with a tapered bottom profile
Grant 10,985,273 - Yeung , et al. April 20, 2
2021-04-20
Resistive random-access memory
Grant 10,985,315 - Cheng , et al. April 20, 2
2021-04-20
Gate stack optimization for wide and narrow nanosheet transistor devices
Grant 10,985,069 - Zhang , et al. April 20, 2
2021-04-20
Reduction of top source/drain external resistance and parasitic capacitance in vertical transistors
Grant 10,985,274 - Lee , et al. April 20, 2
2021-04-20
Vertical transport fin field effect transistor with asymmetric channel profile
Grant 10,985,257 - Lee , et al. April 20, 2
2021-04-20
Asymmetric Threshold Voltages In Semiconductor Devices
App 20210111255 - Ando; Takashi ;   et al.
2021-04-15
Forming Shallow Trench Isolation Regions For Nanosheet Field-effect Transistor Devices Using Sacrificial Epitaxial Layer
App 20210111077 - Loubet; Nicolas ;   et al.
2021-04-15
Fabrication Of Silicon Germanium Channel And Silicon/silicon Germanium Dual Channel Field-effect Transistors
App 20210111078 - Lee; ChoongHyun ;   et al.
2021-04-15
Contact Source/drain Resistance
App 20210111246 - Lie; Fee Li ;   et al.
2021-04-15
Tri-layer STI liner for nanosheet leakage control
Grant 10,978,356 - Lee , et al. April 13, 2
2021-04-13
Self-aligned contact with metal-insulator transition materials
Grant 10,978,572 - Lee , et al. April 13, 2
2021-04-13
Self-aligned contact with metal-insulator transition materials
Grant 10,978,571 - Lee , et al. April 13, 2
2021-04-13
Resistive Switching Device Having Controlled Filament Formation
App 20210104664 - Reznicek; Alexander ;   et al.
2021-04-08
Extreme ultraviolet patterning process with resist hardening
Grant 10,971,362 - Park , et al. April 6, 2
2021-04-06
Low contact resistance nanowire FETs
Grant 10,971,584 - Xu , et al. April 6, 2
2021-04-06
Method of forming a complementary metal oxide semiconductor device having fin field effect transistors with a common metal gate
Grant 10,971,407 - Ando , et al. April 6, 2
2021-04-06
Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between adjacent gates
Grant 10,971,585 - Lee , et al. April 6, 2
2021-04-06
Oxygen reservoir for low threshold voltage P-type MOSFET
Grant 10,971,593 - Ando , et al. April 6, 2
2021-04-06
Reduction of multi-threshold voltage patterning damage in nanosheet device structure
Grant 10,957,698 - Lee , et al. March 23, 2
2021-03-23
Formation of air gap spacers for reducing parasitic capacitance
Grant 10,957,778 - Cheng , et al. March 23, 2
2021-03-23
Resistive random-access memory array with reduced switching resistance variability
Grant 10,957,742 - Ando , et al. March 23, 2
2021-03-23
ILD gap fill for memory device stack array
Grant 10,950,549 - Seo , et al. March 16, 2
2021-03-16
FinFET 2T2R RRAM
App 20210074765 - Reznicek; Alexander ;   et al.
2021-03-11
Confined work function material for gate-all around transistor devices
Grant 10,943,787 - Zhang , et al. March 9, 2
2021-03-09
Semiconductor-on-insulator finFET devices with high thermal conductivity dielectrics
Grant 10,943,924 - Lee , et al. March 9, 2
2021-03-09
Mask removal for tight-pitched nanostructures
Grant 10,943,816 - Li , et al. March 9, 2
2021-03-09
Asymmetric threshold voltage VTFET with intrinsic dual channel epitaxy
Grant 10,943,903 - Lee , et al. March 9, 2
2021-03-09
Fabrication of silicon germanium channel and silicon/silicon germanium dual channel field-effect transistors
Grant 10,943,835 - Lee , et al. March 9, 2
2021-03-09
Nanosheet substrate isolated source/drain epitaxy via airgap
Grant 10,937,862 - Reznicek , et al. March 2, 2
2021-03-02
Vertical transport FETs having a gradient threshold voltage
Grant 10,937,883 - Lee , et al. March 2, 2
2021-03-02
Gate stack designs for analog and logic devices in dual channel Si/SiGe CMOS
Grant 10,937,648 - Lee , et al. March 2, 2
2021-03-02
Integrated Circuit Device And Method Of Manufacturing The Same
App 20210057339 - Lee; Choonghyun ;   et al.
2021-02-25
Bottom channel isolation in nanosheet transistors
Grant 10,930,793 - Chao , et al. February 23, 2
2021-02-23
Maskless epitaxial growth of phosphorus-doped Si and boron-doped SiGe (Ge) for advanced source/drain contact
Grant 10,930,567 - Lee , et al. February 23, 2
2021-02-23
Space deposition between source/drain and sacrificial layers
Grant 10,930,758 - Mochizuki , et al. February 23, 2
2021-02-23
Multiple work function nanosheet field effect transistor using sacrificial silicon germanium growth
Grant 10,930,762 - Ando , et al. February 23, 2
2021-02-23
Method And Apparatus For Treating Substrate
App 20210050210 - SEO; Yong-Jun ;   et al.
2021-02-18
Co-integration of high carrier mobility PFET and NFET devices on the same substrate using low temperature condensation
Grant 10,923,403 - Ando , et al. February 16, 2
2021-02-16
Formation of pure silicon oxide interfacial layer on silicon-germanium channel field effect transistor device
Grant 10,916,432 - Ando , et al. February 9, 2
2021-02-09
Silicon germanium FinFET with low gate induced drain leakage current
Grant 10,916,633 - Mochizuki , et al. February 9, 2
2021-02-09
Vertical fin field effect transistor devices with reduced top source/drain variability and lower resistance
Grant 10,916,638 - Cheng , et al. February 9, 2
2021-02-09
Asymmetric threshold voltage FinFET device by partial channel doping variation
Grant 10,916,659 - Reznicek , et al. February 9, 2
2021-02-09
Vertical field effect transistor with reduced external resistance
Grant 10,916,649 - Li , et al. February 9, 2
2021-02-09
Method and structure for forming vertical transistors with various gate lengths
Grant 10,910,494 - Cheng , et al. February 2, 2
2021-02-02
Forming shallow trench isolation regions for nanosheet field-effect transistor devices using sacrificial epitaxial layer
Grant 10,910,273 - Loubet , et al. February 2, 2
2021-02-02
External resistance reduction with embedded bottom source/drain for vertical transport FET
Grant 10,903,318 - Lee , et al. January 26, 2
2021-01-26
Vertical transport FET devices having a sacrificial doped layer
Grant 10,903,339 - Lee , et al. January 26, 2
2021-01-26
Asymmetric threshold voltages in semiconductor devices
Grant 10,896,962 - Ando , et al. January 19, 2
2021-01-19
Formation of wrap-around-contact to reduce contact resistivity
Grant 10,896,965 - Carr , et al. January 19, 2
2021-01-19
Wrap-around-contact structure for top source/drain in vertical FETS
Grant 10,892,336 - Lee , et al. January 12, 2
2021-01-12
Vertical field effect transistor with reduced gate to source/drain capacitance
Grant 10,892,325 - Li , et al. January 12, 2
2021-01-12
Vertical field effect transistor with reduced gate to source/drain capacitance
Grant 10,892,324 - Li , et al. January 12, 2
2021-01-12
Method and structure for forming a vertical field-effect transistor using a replacement metal gate process
Grant 10,892,195 - Lee , et al. January 12, 2
2021-01-12
Nanosheet transistor having abrupt junctions between the channel nanosheets and the source/drain extension regions
Grant 10,892,368 - Lee , et al. January 12, 2
2021-01-12
Gate first technique in vertical transport FET using doped silicon gates with silicide
Grant 10,892,339 - Bao , et al. January 12, 2
2021-01-12
I/O device scheme for gate-all-around transistors
Grant 10,886,368 - Zhang , et al. January 5, 2
2021-01-05
Formation of wrap-around-contact to reduce contact resistivity
Grant 10,886,376 - Carr , et al. January 5, 2
2021-01-05
Vertical array of resistive switching devices having a tunable oxygen vacancy concentration
Grant 10,886,334 - Ando , et al. January 5, 2
2021-01-05
Method and structure for forming a vertical field-effect transistor using a replacement metal gate process
Grant 10,886,183 - Lee , et al. January 5, 2
2021-01-05
Formation of self-limited inner spacer for gate-all-around nanosheet FET
Grant 10,886,369 - Zhang , et al. January 5, 2
2021-01-05
Vertical transport Fin field effect transistors combined with resistive memory structures
Grant 10,879,311 - Lee , et al. December 29, 2
2020-12-29
Vertically stacked nFETs and pFETs with gate-all-around structure
Grant 10,879,352 - Zhang , et al. December 29, 2
2020-12-29
Boosted vertical field-effect transistor
Grant 10,879,390 - Ok , et al. December 29, 2
2020-12-29
Stacked Resistive Memory With Individual Switch Control
App 20200403034 - Ando; Takashi ;   et al.
2020-12-24
Vertical Fet With Asymmetric Threshold Voltage And Channel Thicknesses
App 20200403065 - Lee; Choonghyun ;   et al.
2020-12-24
OXYGEN RESERVOIR FOR LOW Vth PFET
App 20200395458 - Ando; Takashi ;   et al.
2020-12-17
Complementary Metal Oxide Semiconductor Device Having Fin Field Effect Transistors With A Common Metal Gate
App 20200388544 - Ando; Takashi ;   et al.
2020-12-10
Asymmetric Threshold Voltages In Semiconductor Devices
App 20200381520 - Ando; Takashi ;   et al.
2020-12-03
Gate Cap Last For Self-aligned Contact
App 20200381306 - Park; Chanro ;   et al.
2020-12-03
Threshold Voltage Adjustment By Inner Spacer Material Selection
App 20200381305 - Ando; Takashi ;   et al.
2020-12-03
Vertical Field Effect Transistor With Reduced Parasitic Capacitance
App 20200373434 - Lee; Choonghyun ;   et al.
2020-11-26
Multi-threshold Voltage Gate-all-around Transistors
App 20200373300 - ZHANG; Jingyun ;   et al.
2020-11-26
Formation Of An Air Gap Spacer Using Sacrificial Spacer Layer
App 20200373204 - Cheng; Kangguo ;   et al.
2020-11-26
Asymmetric Channel Threshold Voltage
App 20200373429 - Lee; Choonghyun ;   et al.
2020-11-26
Nanosheet P-type Transistor With Oxygen Reservoir
App 20200365584 - Ando; Takashi ;   et al.
2020-11-19
Planar gate-insulated vacuum channel transistor
Grant 10,840,052 - Ok , et al. November 17, 2
2020-11-17
Formation of air gap spacers for reducing parasitic capacitance
Grant 10,840,349 - Cheng , et al. November 17, 2
2020-11-17
Vertical field-effect transistor devices with non-uniform thickness bottom spacers
Grant 10,840,145 - Li , et al. November 17, 2
2020-11-17
Nanosheet Transistor Having Abrupt Junctions Between The Channel Nanosheets And The Source/drain Extension Regions
App 20200357931 - Lee; Choonghyun ;   et al.
2020-11-12
Gate Last Vertical Transport Field Effect Transistor
App 20200357898 - Lee; Choonghyun ;   et al.
2020-11-12
Tri-layer Sti Liner For Nanosheet Leakage Control
App 20200357703 - Lee; Choonghyun ;   et al.
2020-11-12
Gate stack reliability in vertical transport field effect transistors
Grant 10,833,172 - Lee , et al. November 10, 2
2020-11-10
Reduced static random access memory (SRAM) device foot print through controlled bottom source/drain placement
Grant 10,832,975 - Bao , et al. November 10, 2
2020-11-10
Single-fin CMOS transistors with embedded and cladded source/drain structures
Grant 10,832,969 - Miao , et al. November 10, 2
2020-11-10
Self-aligned silicide/germanide formation to reduce external resistance in a vertical field-effect transistor
Grant 10,832,970 - Lee , et al. November 10, 2
2020-11-10
Complementary metal-oxide-semiconductor (CMOS) nanosheet devices with epitaxial source/drains and replacement metal gate structures
Grant 10,833,168 - Seo , et al. November 10, 2
2020-11-10
Techniques for forming vertical transport FET having gate stacks with a combination of work function metals
Grant 10,833,200 - Lee , et al. November 10, 2
2020-11-10
Formation of an air gap spacer using sacrificial spacer layer
Grant 10,832,962 - Cheng , et al. November 10, 2
2020-11-10
Quadruple gate dielectric for gate-all-around transistors
Grant 10,832,960 - Zhang , et al. November 10, 2
2020-11-10
Methods and structures for forming uniform fins when using hardmask patterns
Grant 10,832,955 - Xu , et al. November 10, 2
2020-11-10
Airgap isolation for backend embedded memory stack pillar arrays
Grant 10,832,941 - Seo , et al. November 10, 2
2020-11-10
Vertical Vacuum Channel Transistor
App 20200350136 - Ok; Injo ;   et al.
2020-11-05
Source/drain For Gate-all-around Devices
App 20200350215 - Zhang; Jingyun ;   et al.
2020-11-05
Vertical transport field-effect transistor including dual layer top spacer
Grant 10,825,916 - Jagannathan , et al. November 3, 2
2020-11-03
Nanosheet with selective dipole diffusion into high-k
Grant 10,825,736 - Zhang , et al. November 3, 2
2020-11-03
Vertical transport FET having multiple threshold voltages with zero-thickness variation of work function metal
Grant 10,818,756 - Lee , et al. October 27, 2
2020-10-27
VTFET having a V-shaped groove at the top junction region
Grant 10,818,753 - Lee , et al. October 27, 2
2020-10-27
Vertical Transistors With Top Spacers
App 20200335605 - Zhang; Jingyun ;   et al.
2020-10-22
Multi-threshold vertical FETs with common gates
Grant 10,811,413 - Ando , et al. October 20, 2
2020-10-20
Bottom channel isolation in nanosheet transistors
Grant 10,804,410 - Chao , et al. October 13, 2
2020-10-13
Source and drain isolation for CMOS nanosheet with one block mask
Grant 10,804,165 - Seo , et al. October 13, 2
2020-10-13
Mask Removal For Tight-pitched Nanostructures
App 20200321245 - Li; Juntao ;   et al.
2020-10-08
Airgap Isolation For Backend Embedded Memory Stack Pillar Arrays
App 20200312704 - Seo; Soon-Cheon ;   et al.
2020-10-01
Vertical Transistors Having Uniform Channel Length
App 20200312722 - Lee; Choonghyun ;   et al.
2020-10-01
Gate Channel Length Control In Vfet
App 20200312723 - Ok; Injo ;   et al.
2020-10-01
Dual channel silicon/silicon germanium complementary metal oxide semiconductor performance with interface engineering
Grant 10,790,199 - Bao , et al. September 29, 2
2020-09-29
VFET with channel profile control using selective GE oxidation and drive-out
Grant 10,790,357 - Hashemi , et al. September 29, 2
2020-09-29
Vtfet Having A V-shaped Groove At The Top Junction Region
App 20200303503 - Lee; Choonghyun ;   et al.
2020-09-24
Replacement Bottom Electrode Structure Process To Form Misalignment Tolerate Mram With High Yield
App 20200295256 - Hashemi; Pouya ;   et al.
2020-09-17
Gate First Technique In Vertical Transport Fet Using Doped Silicon Gates With Silicide
App 20200295147 - BAO; RUQIANG ;   et al.
2020-09-17
Vertical fin-type bipolar junction transistor with self-aligned base contact
Grant 10,777,648 - Lee , et al. Sept
2020-09-15
Method and structure of fabricating I-shaped silicon vertical field-effect transistors
Grant 10,777,658 - Lee , et al. Sept
2020-09-15
Self-aligned bottom source/drain epitaxial growth in vertical field effect transistors
Grant 10,777,659 - Lee , et al. Sept
2020-09-15
Removal of work function metal wing to improve device yield in vertical FETs
Grant 10,777,679 - Lee , et al. Sept
2020-09-15
Complementary Metal-oxide-semiconductor (cmos) Nanosheet Devices With Epitaxial Source/drains And Replacement Metal Gate Structu
App 20200287020 - Seo; Soon-Cheon ;   et al.
2020-09-10
Phase Change Memory Structure With Efficient Heating System
App 20200287134 - Ok; Injo ;   et al.
2020-09-10
High density nanotubes and nanotube devices
Grant 10,770,546 - Lee , et al. Sep
2020-09-08
I/o Device For Gate-all-around Transistors
App 20200279777 - Zhang; Jingyun ;   et al.
2020-09-03
Vertical Field-effect Transistor Devices With Non-uniform Thickness Bottom Spacers For Increased Device Performance
App 20200279779 - Li; Juntao ;   et al.
2020-09-03
Film stress control for memory device stack
Grant 10,763,431 - Ok , et al. Sep
2020-09-01
I/O device for gate-all-around transistors
Grant 10,763,177 - Zhang , et al. Sep
2020-09-01
Extreme Ultraviolet Patterning Process With Resist Hardening
App 20200273704 - PARK; Chanro ;   et al.
2020-08-27
Confined Work Function Material For Gate-all Around Transistor Devices
App 20200273710 - Zhang; Jingyun ;   et al.
2020-08-27
Forming Shallow Trench Isolation Regions For Nanosheet Field-effect Transistor Devices Using Sacrificial Epitaxial Layer
App 20200273753 - Loubet; Nicolas ;   et al.
2020-08-27
VFET devices with improved performance
Grant 10,756,170 - Cheng , et al. A
2020-08-25
Inner spacer formation and contact resistance reduction in nanosheet transistors
Grant 10,756,175 - Lee , et al. A
2020-08-25
Stacked nanosheet technology with uniform Vth control
Grant 10,756,176 - Hashemi , et al. A
2020-08-25
Nanosheet mosfet with isolated source/drain epitaxy and close junction proximity
Grant 10,756,216 - Miao , et al. A
2020-08-25
Method and structure for forming MRAM device
Grant 10,748,962 - Seo , et al. A
2020-08-18
Vertically stacked nFET and pFET with dual work function
Grant 10,748,994 - Reznicek , et al. A
2020-08-18
Formation of self-aligned bottom spacer for vertical transistors
Grant 10,749,012 - Bao , et al. A
2020-08-18
Vertical transport FETs with asymmetric channel profiles using dipole layers
Grant 10,748,819 - Ando , et al. A
2020-08-18
Quadruple Gate Dielectric For Gate-all-around Transistors
App 20200258785 - A1
2020-08-13
Vertical Transport Fin Field Effect Transistors Combined With Resistive Memory Structures
App 20200258941 - A1
2020-08-13
Uniform Interfacial Layer On Vertical Fin Sidewalls Of Vertical Transport Field-effect Transistors
App 20200259000 - A1
2020-08-13
Mutliple Dielectrics For Gate-all-around Transistors
App 20200258786 - A1
2020-08-13
Stacked silicon nanotubes
Grant 10,741,677 - Li , et al. A
2020-08-11
Wrap-around-contact structure for top source/drain in vertical FETs
Grant 10,741,652 - Lee , et al. A
2020-08-11
Vfet With Channel Profile Control Using Selective Ge Oxidation And Drive-out
App 20200251558 - Kind Code
2020-08-06
Field-effect transistor unit cells for neural networks with differential weights
Grant 10,734,447 - Ando , et al.
2020-08-04
Multiple dielectrics for gate-all-around transistors
Grant 10,734,286 - Ando , et al.
2020-08-04
FinFET CMOS with asymmetric gate threshold voltage
Grant 10,734,479 - Reznicek , et al.
2020-08-04
Bipolar junction transistor (BJT) with 3D wrap around emitter
Grant 10,734,490 - Lee , et al.
2020-08-04
Oxide Isolated Fin-type Field-effect Transistors
App 20200243670 - Bao; Ruqiang ;   et al.
2020-07-30
Reduction Of Top Source/drain External Resistance And Parasitic Capacitance In Vertical Transistors
App 20200243681 - Lee; Choonghyun ;   et al.
2020-07-30
Polymer Composite Strengthened With Carbon Fiber Surface-modified By Plasma Treatment And Method For Producing Polymer Composite
App 20200231768 - LIM; Dae-Soon ;   et al.
2020-07-23
Maskless Top Source/drain Epitaxial Growth On Vertical Transport Field Effect Transistor
App 20200235015 - Lee; ChoongHyun ;   et al.
2020-07-23
Finfet Cmos With Asymmetric Gate Threshold Voltage
App 20200235209 - Reznicek; Alexander ;   et al.
2020-07-23
Removal Of Work Function Metal Wing To Improve Device Yield In Vertical Fets
App 20200235238 - Lee; Choonghyun ;   et al.
2020-07-23
Vertical transistors having a layer of charge carriers in the extension region for reduced extension region resistance
Grant 10,720,502 - Ando , et al.
2020-07-21
Transistor having an oxide-isolated strained channel fin on a bulk substrate
Grant 10,720,527 - Cheng , et al.
2020-07-21
Forming vertical transistor devices with greater layout flexibility and packing density
Grant 10,720,364 - Cheng , et al.
2020-07-21
Resistive Random-access Memory
App 20200227633 - Cheng; Kangguo ;   et al.
2020-07-16
Gate-last process for vertical transport field-effect transistor
Grant 10,714,399 - Mochizuki , et al.
2020-07-14
Fin Field-effect Transistors With Enhanced Strain And Reduced Parasitic Capacitance
App 20200219993 - Cheng; Kangguo ;   et al.
2020-07-09
Gate-last Process For Vertical Transport Field-effect Transistor
App 20200219777 - Mochizuki; Shogo ;   et al.
2020-07-09
Vertically stacked nFET and pFET with dual work function
Grant 10,707,304 - Reznicek , et al.
2020-07-07
Vertical fin field effect transistor device with reduced gate variation and reduced capacitance
Grant 10,707,329 - Li , et al.
2020-07-07
Gate Stack Optimization For Wide And Narrow Nanosheet Transistor Devices
App 20200211902 - Zhang; Jingyun ;   et al.
2020-07-02
Replacement Metal Gate Process For Vertical Transport Field-effect Transistor With Self-aligned Shared Contacts
App 20200212220 - Bao; Ruqiang ;   et al.
2020-07-02
Replacement Metal Gate Process For Vertical Transport Field-effect Transistors With Multiple Threshold Voltages
App 20200211908 - Bao; Ruqiang ;   et al.
2020-07-02
Multi-threshold voltage gate-all-around field-effect transistor devices with common gates
Grant 10,700,064 - Zhang , et al.
2020-06-30
Co-integration of high carrier mobility PFET and NFET devices on the same substrate using low temperature condensation
Grant 10,699,967 - Ando , et al.
2020-06-30
Vertical array of resistive switching devices having a tunable oxygen vacancy concentration
Grant 10,700,129 - Ando , et al.
2020-06-30
Vertical transport field-effect transistors with uniform threshold voltage
Grant 10,700,062 - Lee , et al.
2020-06-30
Vertical Transistors With Various Gate Lengths
App 20200203528 - Li; Juntao ;   et al.
2020-06-25
MTJ stack etch using IBE to achieve vertical profile
Grant 10,693,059 - Seo , et al.
2020-06-23
Gate formation scheme for nanosheet transistors having different work function metals and different nanosheet width dimensions
Grant 10,692,873 - Ando , et al.
2020-06-23
Co-integrated channel and gate formation scheme for nanosheet transistors having separately tuned threshold voltages
Grant 10,692,866 - Ando , et al.
2020-06-23
Vertically stacked nanofluidic channel array
Grant 10,689,245 - Li , et al.
2020-06-23
Vertical transport FET devices having a sacrificial doped layer
Grant 10,686,057 - Lee , et al.
2020-06-16
Germanium condensation for replacement metal gate devices with silicon germanium channel
Grant 10,686,076 - Ando , et al.
2020-06-16
Fabrication Of Field Effect Transistors With Different Threshold Voltages Through Modified Channel Interfaces
App 20200185381 - Ando; Takashi ;   et al.
2020-06-11
Fabrication Of Field Effect Transistors With Different Threshold Voltages Through Modified Channel Interfaces
App 20200185380 - Ando; Takashi ;   et al.
2020-06-11
Reduction of top source/drain external resistance and parasitic capacitance in vertical transistors
Grant 10,680,102 - Lee , et al.
2020-06-09
Method of manufacturing stacked SiGe nanotubes
Grant 10,680,063 - Li , et al.
2020-06-09
Oxide isolated fin-type field-effect transistors
Grant 10,680,083 - Bao , et al.
2020-06-09
Channel Strain Formation in Vertical Transport FETS with Dummy Stressor Materials
App 20200176333 - Lee; Choonghyun ;   et al.
2020-06-04
Vertical Field Effect Transistor With Low-resistance Bottom Source-drain Contact
App 20200176611 - Lee; Choonghyun ;   et al.
2020-06-04
Self-aligned base contacts for vertical fin-type bipolar junction transistors
Grant 10672872 -
2020-06-02
Stacked gate all around MOSFET with symmetric inner spacer formed via sacrificial pure Si anchors
Grant 10,672,891 - Hashemi , et al.
2020-06-02
Reducing off-state leakage current in Si/SiGe dual channel CMOS
Grant 10672643 -
2020-06-02
Replacement metal gate process for vertical transport field-effect transistors with multiple threshold voltages
Grant 10,672,670 - Bao , et al.
2020-06-02
Replacement metal gate process for vertical transport field-effect transistor with self-aligned shared contacts
Grant 10,672,905 - Bao , et al.
2020-06-02
External Resistance Reduction With Embedded Bottom Source/drain For Vertical Transport Fet
App 20200168706 - Lee; Choonghyun ;   et al.
2020-05-28
Self-limiting liners for increasing contact trench volume in N-type and P-type transistors
Grant 10665511 -
2020-05-26
Reducing gate-induced-drain-leakage current in a transistor by forming an enhanced band gap layer at the channel-to-drain interface
Grant 10665698 -
2020-05-26
Vertical transistors with various gate lengths
Grant 10665714 -
2020-05-26
Vertical Fin Field Effect Transistor Devices With Self-aligned Source And Drain Junctions
App 20200161453 - Cheng; Kangguo ;   et al.
2020-05-21
Vertical Fin Field Effect Transistor Devices With Self-aligned Source And Drain Junctions
App 20200161454 - Cheng; Kangguo ;   et al.
2020-05-21
Self-aligned Bottom Source/drain Epitaxial Growth In Vertical Field Effect Transistors
App 20200161451 - LEE; Choonghyun ;   et al.
2020-05-21
Film Stress Control For Memory Device Stack
App 20200161547 - Ok; Injo ;   et al.
2020-05-21
Ild Gap Fill For Memory Device Stack Array
App 20200161250 - Seo; Soon-Cheon ;   et al.
2020-05-21
Vertically stacked dual channel nanosheet devices
Grant 10658462 -
2020-05-19
Replacement metal gate processes for vertical transport field-effect transistor
Grant 10658299 -
2020-05-19
Vertical fin type bipolar junction transistor (BJT) device with a self-aligned base contact
Grant 10658495 -
2020-05-19
Vertical Fin-type Bipolar Junction Transistor With Self-aligned Base Contact
App 20200152755 - Lee; Choonghyun ;   et al.
2020-05-14
Formation Of Air Gap Spacers For Reducing Parasitic Capacitance
App 20200152759 - Cheng; Kangguo ;   et al.
2020-05-14
Stacked Nanosheet Technology With Uniform Vth Control
App 20200152737 - Hashemi; Pouya ;   et al.
2020-05-14
Reduction Of Metal Resistance In Vertical Reram Cells
App 20200152702 - Ando; Takashi ;   et al.
2020-05-14
Formation Of Air Gap Spacers For Reducing Parasitic Capacitance
App 20200152760 - Cheng; Kangguo ;   et al.
2020-05-14
Semiconductor Device And Method Of Forming The Semiconductor Device
App 20200152631 - Chao; Robin Hsin Kuo ;   et al.
2020-05-14
Vertical Transport Fets With Asymmetric Channel Profiles Using Dipole Layers
App 20200152762 - Ando; Takashi ;   et al.
2020-05-14
Vertical Nano-wire Complimentary Metal-oxide-semiconductor Transistor With Cylindrical Iii-v Compound And Germanium Channel
App 20200152798 - OK; Injo ;   et al.
2020-05-14
Techniques for Forming Vertical Transport FET
App 20200152524 - Lee; Choonghyun ;   et al.
2020-05-14
Formation Of Air Gap Spacers For Reducing Parasitic Capacitance
App 20200152761 - Cheng; Kangguo ;   et al.
2020-05-14
Vertical FET with Differential Top Spacer
App 20200152769 - Ando; Takashi ;   et al.
2020-05-14
Vertical Transport Field-effect Transistor Including Dual Layer Top Spacer
App 20200152766 - Jagannathan; Hemanth ;   et al.
2020-05-14
Gate Stack Designs for Analog and Logic Devices in Dual Channel Si/SiGe CMOS
App 20200144057 - Lee; Choonghyun ;   et al.
2020-05-07
Nanosheet Transistors With Thin Inner Spacers And Tight Pitch Gate
App 20200144396 - Cheng; Kangguo ;   et al.
2020-05-07
Forming Bottom Source and Drain Extension on Vertical Transport FET (VTFET)
App 20200144419 - Mochizuki; Shogo ;   et al.
2020-05-07
Vertical Transport Fet Having Multiple Threshold Voltages With Zero-thickness Variation Of Work Function Metal
App 20200144378 - LEE; CHOONGHYUN ;   et al.
2020-05-07
Self-aligned Contact With Metal-insulator Transistion Materials
App 20200135881 - LEE; CHOONGHYUN ;   et al.
2020-04-30
Self-aligned Contact With Metal-insulator Transistion Materials
App 20200135882 - LEE; CHOONGHYUN ;   et al.
2020-04-30
Maskless Top Source/drain Epitaxial Growth On Vertical Transport Field Effect Transistor
App 20200135585 - Lee; ChoongHyun ;   et al.
2020-04-30
Resistive Random-access Memory
App 20200136035 - Cheng; Kangguo ;   et al.
2020-04-30
Reducing Gate-induced-drain-leakage Current In A Transistor By Forming An Enhanced Band Gap Layer At The Channel-to-drain Interf
App 20200127122 - Lee; Choonghyun ;   et al.
2020-04-23
Die Bonding Apparatus And Mehod And Substrate Bonding Apparatus And Mehod
App 20200126948 - LEE; HANGLIM ;   et al.
2020-04-23
Silicon Germanium Finfet With Low Gate Induced Drain Leakage Current
App 20200127097 - Mochizuki; Shogo ;   et al.
2020-04-23
Vertical Transistors Having A Layer Of Charge Carriers In The Extension Region For Reduced Extension Region Resistance
App 20200127104 - Ando; Takashi ;   et al.
2020-04-23
Field-effect Transistor Unit Cells For Neural Networks With Differential Weights
App 20200127054 - Ando; Takashi ;   et al.
2020-04-23
Vertical Field Effect Transistor With Reduced External Resistance
App 20200119191 - LI; Juntao ;   et al.
2020-04-16
Single-fin Cmos Transistors With Embedded And Cladded Source/drain Structures
App 20200118886 - Miao; Xin ;   et al.
2020-04-16
Vertical Transport Field-effect Transistors With Uniform Threshold Voltage
App 20200119010 - Lee; ChoongHyun ;   et al.
2020-04-16
Vertical Fin Type Bipolar Junction Transistor (bjt) Device With A Self-aligned Base Contact
App 20200119170 - Ok; Injo ;   et al.
2020-04-16
Asymmetric Threshold Voltage Vtfet With Intrinsic Dual Channel Epitaxy
App 20200111787 - Lee; Choonghyun ;   et al.
2020-04-09
Full Air-gap Spacers For Gate-all-around Nanosheet Field Effect Transistors
App 20200111886 - Ando; Takashi ;   et al.
2020-04-09
Asymmetric Threshold Voltage Vtfet With Intrinsic Dual Channel Epitaxy
App 20200111788 - Lee; Choonghyun ;   et al.
2020-04-09
Stacked Gate All Around MOSFET with Symmetric Inner Spacer Formed via Sacrificial Pure Si Anchors
App 20200111888 - Hashemi; Pouya ;   et al.
2020-04-09
Fabrication Of Silicon Germanium Channel And Silicon/silicon Germanium Dual Channel Field-effect Transistors
App 20200111714 - Lee; ChoongHyun ;   et al.
2020-04-09
Reduction Of Top Source/drain External Resistance And Parasitic Capacitance In Vertical Transistors
App 20200105928 - Lee; Choonghyun ;   et al.
2020-04-02
Gate-all-around Field-effect Transistor With Asymmetric Threshold Voltage
App 20200105929 - Zhang; Jingyun ;   et al.
2020-04-02
Full Air-gap Spacers For Gate-all-around Nanosheet Field Effect Transistors
App 20200105896 - Ando; Takashi ;   et al.
2020-04-02
Inner Spacer For Nanosheet Transistors
App 20200098860 - CHENG; KANGGUO ;   et al.
2020-03-26
Formation Of Wrap-around-contact To Reduce Contact Resistivity
App 20200098928 - Carr; Adra ;   et al.
2020-03-26
Nanosheet Transistors With Different Gate Dielectrics And Workfunction Metals
App 20200098643 - Cheng; Kangguo ;   et al.
2020-03-26
High Density Nanotubes And Nanotube Devices
App 20200098861 - Lee; ChoongHyun ;   et al.
2020-03-26
Formation Of Wrap-around-contact To Reduce Contact Resistivity
App 20200098927 - Carr; Adra ;   et al.
2020-03-26
Asymmetric Threshold Voltage Finfet Device By Partial Channel Doping Variation
App 20200091344 - Reznicek; Alexander ;   et al.
2020-03-19
Vertical Fin Field Effect Transistor Devices With Reduced Top Source/drain Variability And Lower Resistance
App 20200091317 - Cheng; Kangguo ;   et al.
2020-03-19
Vertical Array Of Resistive Switching Devices Having A Tunable Oxygen Vacancy Concentration
App 20200091231 - Ando; Takashi ;   et al.
2020-03-19
Nanosheet Transistors With Different Gate Dielectrics And Workfunction Metals
App 20200091009 - Cheng; Kangguo ;   et al.
2020-03-19
Oxide Isolated Fin-type Field-effect Transistors
App 20200091319 - Bao; Ruqiang ;   et al.
2020-03-19
Boosted Vertical Field-effect Transistor
App 20200091342 - Ok; Injo ;   et al.
2020-03-19
Reduction Of Multi-threshold Voltage Patterning Damage In Nanosheet Device Structure
App 20200091149 - Lee; ChoongHyun ;   et al.
2020-03-19
Inner Spacer Formation And Contact Resistance Reduction In Nanosheet Transistors
App 20200091288 - Lee; Choonghyun ;   et al.
2020-03-19
Stacked SiGe Nanotubes
App 20200083328 - Li; Juntao ;   et al.
2020-03-12
Multivalent Oxide Cap For Multiple Work Function Gate Stacks On High Mobility Channel Materials
App 20200083113 - Ando; Takashi ;   et al.
2020-03-12
Method And Structure For Forming A Vertical Field-effect Transistor Using A Replacement Metal Gate Process
App 20200083121 - Lee; ChoongHyun ;   et al.
2020-03-12
Co-integrated Channel And Gate Formation Scheme For Nanosheet Transistors Having Separately Tuned Threshold Voltages
App 20200083221 - Ando; Takashi ;   et al.
2020-03-12
Method And Structure For Forming A Vertical Field-effect Transistor Using A Replacement Metal Gate Process
App 20200083120 - Lee; ChoongHyun ;   et al.
2020-03-12
Wrap-around-contact Structure For Top Source/drain In Vertical Fets
App 20200075736 - Lee; Choonghyun ;   et al.
2020-03-05
Wrap-around-contact Structure For Top Source/drain In Vertical Fets
App 20200075737 - Lee; Choonghyun ;   et al.
2020-03-05
External Resistance Reduction With Embedded Bottom Source/drain For Vertical Transport Fet
App 20200075723 - Lee; Choonghyun ;   et al.
2020-03-05
Vertical Transport Fet Devices Having A Sacrificial Doped Layer
App 20200075747 - Lee; Choonghyun ;   et al.
2020-03-05
Replacement Metal Gate Process For Vertical Transport Field-effect Transistor With Self-aligned Shared Contacts
App 20200066903 - Bao; Ruqiang ;   et al.
2020-02-27
I/o Device Scheme For Gate-all-around Transistors
App 20200066839 - Zhang; Jingyun ;   et al.
2020-02-27
Replacement Metal Gate Process For Vertical Transport Field-effect Transistors With Multiple Threshold Voltages
App 20200066603 - Bao; Ruqiang ;   et al.
2020-02-27
Vertical Transport Fets With Asymmetric Channel Profiles Using Dipole Layers
App 20200066864 - Ando; Takashi ;   et al.
2020-02-27
Gate-last Process For Vertical Transport Field-effect Transistor
App 20200066604 - Mochizuki; Shogo ;   et al.
2020-02-27
Method And Structure For Forming A Vertical Field-effect Transistor
App 20200066881 - Xu; Peng ;   et al.
2020-02-27
Reducing Off-State Leakage Current in Si/SiGe Dual Channel CMOS
App 20200066600 - Ok; Injo ;   et al.
2020-02-27
Vertical Fin Field Effect Transistor Devices With Self-aligned Source And Drain Junctions
App 20200058767 - Cheng; Kangguo ;   et al.
2020-02-20
Forming Vertical Transistor Devices With Greater Layout Flexibility And Packing Density
App 20200058565 - Cheng; Kangguo ;   et al.
2020-02-20
Inverse T-shaped Contact Structures Having Air Gap Spacers
App 20200058759 - Cheng; Kangguo ;   et al.
2020-02-20
Fin Field-effect Transistors With Enhanced Strain And Reduced Parasitic Capacitance
App 20200058771 - Cheng; Kangguo ;   et al.
2020-02-20
Vertical Transport Fets Having A Gradient Threshold Voltage
App 20200058753 - Lee; Choonghyun ;   et al.
2020-02-20
Gate Stack Reliability In Vertical Transport Field Effect Transistors
App 20200058766 - LEE; Choonghyun ;   et al.
2020-02-20
Multi-threshold Vertical Fets With Common Gates
App 20200051979 - Ando; Takashi ;   et al.
2020-02-13
Nanosheet Mosfet With Isolated Source/drain Epitaxy And Close Junction Proximity
App 20200052124 - Miao; Xin ;   et al.
2020-02-13
Bottom Spacer Structure For Vertical Field Effect Transistor And Method Of Forming Same
App 20200052094 - Mochizuki; Shogo ;   et al.
2020-02-13
Method And Structure For Forming Vertical Transistors With Various Gate Lengths
App 20200052114 - CHENG; Kangguo ;   et al.
2020-02-13
Nanosheet Substrate Isolated Source/drain Epitaxy Via Airgap
App 20200044023 - Reznicek; Alexander ;   et al.
2020-02-06
Long Channel Optimization For Gate-all-around Transistors
App 20200035820 - Zhang; Jingyun ;   et al.
2020-01-30
Lateral Semiconductor Nanotube With Hexagonal Shape
App 20200035488 - Li; Juntao ;   et al.
2020-01-30
Gate Stack Optimization For Wide And Narrow Nanosheet Transistor Devices
App 20200035563 - Zhang; Jingyun ;   et al.
2020-01-30
Vertical Field-effect Transistor Including A Fin Having Sidewalls With A Tapered Bottom Profile
App 20200027984 - Yeung; Chun Wing ;   et al.
2020-01-23
Techniques For Forming Vertical Transport Fet
App 20200027991 - Lee; Choonghyun ;   et al.
2020-01-23
Forming Bottom Source and Drain Extension on Vertical Transport FET (VTFET)
App 20200020804 - Mochizuki; Shogo ;   et al.
2020-01-16
Co-integrated Channel And Gate Formation Scheme For Nanosheet Transistors Having Separately Tuned Threshold Voltages
App 20200020690 - Ando; Takashi ;   et al.
2020-01-16
Vertically Stacked Dual Channel Nanosheet Devices
App 20200020768 - Lee; Choonghyun ;   et al.
2020-01-16
Formation Of Pure Silicon Oxide Interfacial Layer On Silicon-germanium Channel Field Effect Transistor Device
App 20200020539 - Ando; Takashi ;   et al.
2020-01-16
Self-aligned Silicide/germanide Formation To Reduce External Resistance In A Vertical Field-effect Transistor
App 20200013681 - Lee; ChoongHyun ;   et al.
2020-01-09
Formation Of Wrap-around-contact To Reduce Contact Resistivity
App 20200013900 - Carr; Adra ;   et al.
2020-01-09
Vertical Fin Field Effect Transistor Device With Reduced Gate Variation And Reduced Capacitance
App 20200013879 - Li; Juntao ;   et al.
2020-01-09
Approach To Control Over-etching Of Bottom Spacers In Vertical Fin Field Effect Transistor Devices
App 20200013877 - Bao; Ruqiang ;   et al.
2020-01-09
Techniques for Enhancing Vertical Gate-All-Around FET Performance
App 20200006343 - Ok; Injo ;   et al.
2020-01-02
Nanosheet Substrate Isolation Scheme By Lattice Matched Wide Bandgap Semiconductor
App 20200006569 - Reznicek; Alexander ;   et al.
2020-01-02
Co-integration Of High Carrier Mobililty Pfet And Nfet Devices On The Same Substrate Using Low Temperature Condensation
App 20200006146 - Ando; Takashi ;   et al.
2020-01-02
Vertically Stacked Nfet And Pfet With Dual Work Function
App 20200006479 - Reznicek; Alexander ;   et al.
2020-01-02

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed