loadpatents
name:-0.040305852890015
name:-0.023273944854736
name:-0.0098061561584473
Lakshmanan; Annamalai Patent Filings

Lakshmanan; Annamalai

Patent Applications and Registrations

Patent applications and USPTO patent grants for Lakshmanan; Annamalai.The latest application filed is for "low resistivity dram buried word line stack".

Company Profile
9.22.38
  • Lakshmanan; Annamalai - Fremont CA
  • Lakshmanan; Annamalai - Santa Clara CA US
  • Lakshmanan; Annamalai - Stuart FL
  • Lakshmanan; Annamalai - Freemont CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Low Resistivity DRAM Buried Word Line Stack
App 20220278108 - Yang; Yixiong ;   et al.
2022-09-01
Low Resistivity Metal Contact Stack
App 20220277961 - Lakshmanan; Annamalai ;   et al.
2022-09-01
Doping Control of Metal Nitride Films
App 20210159118 - Lakshmanan; Annamalai ;   et al.
2021-05-27
Methods for forming a metal silicide interconnection nanowire structure
Grant 10,930,472 - Mebarki , et al. February 23, 2
2021-02-23
Doping control of metal nitride films
Grant 10,910,263 - Lakshmanan , et al. February 2, 2
2021-02-02
Doped tantalum nitride for copper barrier applications
Grant 10,784,157 - Lakshmanan , et al. Sept
2020-09-22
Laminate and core shell formation of silicide nanowire
Grant 10,593,592 - Mebarki , et al.
2020-03-17
Doping Control Of Metal Nitride Films
App 20190378754 - Lakshmanan; Annamalai ;   et al.
2019-12-12
Doping control of metal nitride films
Grant 10,431,493 - Lakshmanan , et al. O
2019-10-01
Methods For Forming A Metal Silicide Interconnection Nanowire Structure
App 20190172686 - MEBARKI; Bencherki ;   et al.
2019-06-06
Methods for forming a metal silicide interconnection nanowire structure
Grant 10,204,764 - Mebarki , et al. Feb
2019-02-12
Doping Control of Metal Nitride Films
App 20180277428 - Lakshmanan; Annamalai ;   et al.
2018-09-27
Doping control of metal nitride films
Grant 10,008,412 - Lakshmanan , et al. June 26, 2
2018-06-26
Doping Control of Metal Nitride Films
App 20170256448 - Lakshmanan; Annamalai ;   et al.
2017-09-07
Doping control of metal nitride films
Grant 9,659,814 - Lakshmanan , et al. May 23, 2
2017-05-23
Direct deposition of nickel silicide nanowire
Grant 9,613,859 - Lakshmanan , et al. April 4, 2
2017-04-04
Method of depositing metals using high frequency plasma
Grant 9,466,524 - Ma , et al. October 11, 2
2016-10-11
Laminate And Core Shell Formation Of Silicide Nanowire
App 20160204029 - MEBARKI; Bencherki ;   et al.
2016-07-14
Direct Deposition Of Nickel Silicide Nanowire
App 20160204027 - LAKSHMANAN; Annamalai ;   et al.
2016-07-14
Methods For Forming A Metal Silicide Interconnection Nanowire Structure
App 20160118260 - MEBARKI; Bencherki ;   et al.
2016-04-28
High Through-put And Low Temperature Ald Copper Deposition And Integration
App 20160032455 - Liu; Feng Q. ;   et al.
2016-02-04
Elimination of first wafer effect for PECVD films
Grant 9,157,151 - Lakshmanan , et al. October 13, 2
2015-10-13
Method And System For Reporting Events And Conditions
App 20150278733 - Lakshmanan; Annamalai ;   et al.
2015-10-01
Methods for manganese nitride integration
Grant 9,076,661 - Ma , et al. July 7, 2
2015-07-07
Doping Control of Metal Nitride Films
App 20140220772 - Lakshmanan; Annamalai ;   et al.
2014-08-07
Methods for depositing a material atop a substrate
Grant 8,642,376 - Chatterjee , et al. February 4, 2
2014-02-04
Methods For Manganese Nitride Integration
App 20130292806 - Ma; Paul F. ;   et al.
2013-11-07
Adhesion Improvement For Low K Dielectrics To Conductive Materials
App 20130230986 - RAJAGOPALAN; NAGARAJAN ;   et al.
2013-09-05
Method Of Depositing Metals Using High Frequency Plasma
App 20130196507 - Ma; Paul F. ;   et al.
2013-08-01
Doped Tantalum Nitride for Copper Barrier Applications
App 20130140698 - Lakshmanan; Annamalai ;   et al.
2013-06-06
Method And Apparatus For Remote Plasma Source Assisted Silicon-containing Film Deposition
App 20130012030 - Lakshmanan; Annamalai ;   et al.
2013-01-10
Methods For Depositing A Material Atop A Substrate
App 20120295419 - CHATTERJEE; SUKTI ;   et al.
2012-11-22
Method Of Processing Low K Dielectric Films
App 20120122320 - Lakshmanan; Annamalai ;   et al.
2012-05-17
Method and Apparatus for Silicon Film Deposition
App 20110230008 - Lakshmanan; Annamalai ;   et al.
2011-09-22
High Temperature Bd Development For Memory Applications
App 20100087062 - Lakshmanan; Annamalai ;   et al.
2010-04-08
Uv Curing Of Pecvd-deposited Sacrificial Polymer Films For Air-gap Ild
App 20080182403 - NOORI; ATIF ;   et al.
2008-07-31
Overall defect reduction for PECVD films
App 20080050932 - Lakshmanan; Annamalai ;   et al.
2008-02-28
Elimination Of First Wafer Effect For Pecvd Films
App 20070281083 - LAKSHMANAN; ANNAMALAI ;   et al.
2007-12-06
Hermetic low dielectric constant layer for barrier applications
Grant 7,288,205 - Lakshmanan , et al. October 30, 2
2007-10-30
Situ oxide cap layer development
Grant 7,273,823 - Lakshmanan , et al. September 25, 2
2007-09-25
Interface engineering to improve adhesion between low k stacks
Grant 7,259,111 - Padhi , et al. August 21, 2
2007-08-21
Adhesion improvement for low k dielectrics to conductive materials
Grant 7,229,911 - Rajagopalan , et al. June 12, 2
2007-06-12
Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
Grant 7,189,658 - Lakshmanan , et al. March 13, 2
2007-03-13
In situ oxide cap layer development
App 20060276054 - Lakshmanan; Annamalai ;   et al.
2006-12-07
Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
App 20060252273 - Lakshmanan; Annamalai ;   et al.
2006-11-09
Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
Grant 7,091,137 - Lee , et al. August 15, 2
2006-08-15
Interface engineering to improve adhesion between low k stacks
App 20060160376 - Padhi; Deenesh ;   et al.
2006-07-20
Adhesion improvement for low k dielectrics to conductive materials
App 20060046479 - Rajagopalan; Nagarajan ;   et al.
2006-03-02
Hermetic low dielectric constant layer for barrier applications
App 20060006140 - Lakshmanan; Annamalai ;   et al.
2006-01-12
Adhesion improvement for low k dielectrics to conductive materials
App 20050233555 - Rajagopalan, Nagarajan ;   et al.
2005-10-20
Cleaning of CVD chambers using remote source with cxfyoz based chemistry
Grant 6,923,189 - Lakshmanan , et al. August 2, 2
2005-08-02
Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
App 20050042889 - Lee, Albert ;   et al.
2005-02-24
Cleaning of CVD chambers using remote source with CXFYOZ based chemistry
App 20040139983 - Lakshmanan, Annamalai ;   et al.
2004-07-22
Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
App 20040052969 - Lee, Ju-Hyung ;   et al.
2004-03-18

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed