loadpatents
name:-0.077441930770874
name:-0.060939073562622
name:-0.0023810863494873
Jacobson; Quinn A. Patent Filings

Jacobson; Quinn A.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Jacobson; Quinn A..The latest application filed is for "method and system for generating a report for a physical activity".

Company Profile
0.57.64
  • Jacobson; Quinn A. - Sunnyvale CA
  • Jacobson; Quinn A. - Madison WI
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Method and system for tracking scores made by a player
Grant 9,675,280 - Jacobson , et al. June 13, 2
2017-06-13
Arm sleeve for training
Grant D783,236 - Kuo , et al. April 11, 2
2017-04-11
System and method for calibrating inertial measurement units
Grant 9,599,634 - Jacobson , et al. March 21, 2
2017-03-21
Method and system for processing a video recording with sensor data
Grant 9,407,883 - Jacobson , et al. August 2, 2
2016-08-02
Method And System For Improving Biomechanics With Immediate Prescriptive Feedback
App 20160175646 - Jacobson; Quinn A. ;   et al.
2016-06-23
Method And System For Generating A Report For A Physical Activity
App 20160180059 - Kuo; Cynthia ;   et al.
2016-06-23
Handling precompiled binaries in a hardware accelerated software transactional memory system
Grant 9,304,769 - Saha , et al. April 5, 2
2016-04-05
Method And System For Assessing Consistency Of Performance Of Biomechanical Activity
App 20150279231 - Kuo; Cynthia ;   et al.
2015-10-01
Method And System For Tracking Scores Made By A Player
App 20150202517 - Jacobson; Quinn A. ;   et al.
2015-07-23
Method And System For Processing A Video Recording With Sensor Data
App 20150208044 - Jacobson; Quinn A. ;   et al.
2015-07-23
Myography Method And System
App 20150099972 - Jacobson; Quinn A. ;   et al.
2015-04-09
Handling Precompiled Binaries In A Hardware Accelerated Software Transactional Memory System
App 20150040111 - Saha; Bratin ;   et al.
2015-02-05
Technique for using memory attributes
Grant 8,812,792 - Jacobson , et al. August 19, 2
2014-08-19
Myography Method And System
App 20140163412 - Jacobson; Quinn A. ;   et al.
2014-06-12
System and Method for Calibrating Inertial Measurement Units
App 20140150521 - Jacobson; Quinn A. ;   et al.
2014-06-05
Logical power throttling of instruction decode rate for successive time periods
Grant 8,745,419 - Chaudhry , et al. June 3, 2
2014-06-03
Method and message handling hardware structure for virtualization and isolation of partitions
Grant 8,707,332 - Saulsbury , et al. April 22, 2
2014-04-22
Technique For Using Memory Attributes
App 20140025901 - Jacobson; Quinn A. ;   et al.
2014-01-23
Technique for using memory attributes
Grant 8,560,781 - Jacobson , et al. October 15, 2
2013-10-15
Concurrent thread execution using user-level asynchronous signaling
Grant 8,468,526 - Saha , et al. June 18, 2
2013-06-18
Primitives To Enhance Thread-level Speculation
App 20130073835 - Jacobson; Quinn A. ;   et al.
2013-03-21
Logical Power Throttling
App 20120331314 - Chaudhry; Shailender ;   et al.
2012-12-27
Method And Message Handling Hardware Structure For Virtualization And Isolation Of Partitions
App 20120317588 - Saulsbury; Ashley ;   et al.
2012-12-13
Primitives to enhance thread-level speculation
Grant 8,332,619 - Jacobson , et al. December 11, 2
2012-12-11
Method and message handling hardware structure for virtualization and isolation of partitions
Grant 8,266,633 - Saulsbury , et al. September 11, 2
2012-09-11
Reducing temperature and power by instruction throttling at decode stage of processor pipeline in time constant duration steps
Grant 8,219,831 - Chaudhry , et al. July 10, 2
2012-07-10
Primitives To Enhance Thread-level Speculation
App 20120084536 - Jacobson; Quinn A. ;   et al.
2012-04-05
Obscuring memory access patterns
Grant 8,078,801 - Buxton , et al. December 13, 2
2011-12-13
Technique For Using Memory Attributes
App 20110264866 - Jacobson; Quinn A. ;   et al.
2011-10-27
Technique for thread communication and synchronization
Grant 8,019,947 - Jacobson , et al. September 13, 2
2011-09-13
Providing application-level information for use in cache management
Grant 7,991,956 - Illikkal , et al. August 2, 2
2011-08-02
Technique for using memory attributes
Grant 7,991,965 - Jacobson , et al. August 2, 2
2011-08-02
Hardware acceleration for a software transactional memory system
Grant 7,958,319 - Saha , et al. June 7, 2
2011-06-07
Primitives To Enhance Thread-level Speculation
App 20110087867 - Jacobson; Quinn A. ;   et al.
2011-04-14
Selectively monitoring loads to support transactional program execution
Grant 7,904,664 - Tremblay , et al. March 8, 2
2011-03-08
Primitives to enhance thread-level speculation
Grant 7,882,339 - Jacobson , et al. February 1, 2
2011-02-01
Obscuring Memory Access Patterns
App 20100299479 - Buxton; Mark ;   et al.
2010-11-25
Return address stack recovery in a speculative execution computing apparatus
Grant 7,836,290 - Chaudhry , et al. November 16, 2
2010-11-16
Selectively monitoring stores to support transactional program execution
Grant 7,818,510 - Tremblay , et al. October 19, 2
2010-10-19
Logical Power Throttling
App 20100191993 - Chaudhry; Shailender ;   et al.
2010-07-29
Protecting memory by containing pointer accesses
Grant 7,761,676 - Doshi , et al. July 20, 2
2010-07-20
Hardware acceleration for a software transactional memory system
Grant 7,725,662 - Saha , et al. May 25, 2
2010-05-25
Method and structure for explicit software control using scoreboard status information
Grant 7,711,928 - Tremblay , et al. May 4, 2
2010-05-04
Effective elimination of delay slot handling from a front section of a processor pipeline
Grant 7,634,644 - Chaudhry , et al. December 15, 2
2009-12-15
Obscuring memory access patterns
Grant 7,610,448 - Buxton , et al. October 27, 2
2009-10-27
Working register file entries with instruction based lifetime
Grant 7,565,511 - Chaudhry , et al. July 21, 2
2009-07-21
Patchable and/or programmable pre-decode
Grant 7,509,481 - Chaudhry , et al. March 24, 2
2009-03-24
Start transactional execution (STE) instruction to support transactional program execution
Grant 7,500,086 - Tremblay , et al. March 3, 2
2009-03-03
Storing results of resolvable branches during speculative execution to predict branches during non-speculative execution
Grant 7,490,229 - Tremblay , et al. February 10, 2
2009-02-10
Providing application-level information for use in cache management
App 20090006755 - Illikkal; Ramesh ;   et al.
2009-01-01
Method and apparatus for facilitating a fast restart after speculative execution
Grant 7,469,334 - Chaudhry , et al. December 23, 2
2008-12-23
Multiple contexts for efficient use of translation lookaside buffer
Grant 7,430,643 - Jordan , et al. September 30, 2
2008-09-30
Fail instruction to support transactional program execution
Grant 7,418,577 - Tremblay , et al. August 26, 2
2008-08-26
Obscuring memory access patterns
App 20080162816 - Buxton; Mark ;   et al.
2008-07-03
Selectively unmarking load-marked cache lines during transactional program execution
Grant 7,389,383 - Tremblay , et al. June 17, 2
2008-06-17
Protecting memory by containing pointer accesses
App 20080140968 - Doshi; Kshitij A. ;   et al.
2008-06-12
Centralized BIST engine for testing on-chip memory structures
Grant 7,360,134 - Jacobson , et al. April 15, 2
2008-04-15
Patchable and/or programmable decode using predecode selection
Grant 7,353,363 - Chaudhry , et al. April 1, 2
2008-04-01
Hardware Acceleration For A Software Transactional Memory System
App 20080059717 - Saha; Bratin ;   et al.
2008-03-06
Hardware Acceleration For A Software Transactional Memory System
App 20080046661 - Saha; Bratin ;   et al.
2008-02-21
Start Transactional Execution (ste) Instruction To Support Transactional Program Execution
App 20080022082 - Tremblay; Marc ;   et al.
2008-01-24
Concurrent thread execution using user-level asynchronous signaling
App 20080005737 - Saha; Bratin ;   et al.
2008-01-03
Selectively Monitoring Loads To Support Transactional Program Execution
App 20070283353 - Tremblay; Marc ;   et al.
2007-12-06
Selectively Monitoring Stores To Support Transactional Program Execution
App 20070271445 - Tremblay; Marc ;   et al.
2007-11-22
Method and system for enhanced thread synchronization and coordination
App 20070271450 - Doshi; Kshitij A. ;   et al.
2007-11-22
Logically partitioning different classes of TLB entries within a single caching structure
Grant 7,293,157 - Parikh , et al. November 6, 2
2007-11-06
Adaptive prefetching
App 20070239940 - Doshi; Kshitij A. ;   et al.
2007-10-11
Working Register File Entries With Instruction Based Lifetime
App 20070226467 - Chaudhry; Shailender ;   et al.
2007-09-27
Patchable And/or Programmable Decode Using Predecode Selection
App 20070226463 - Chaudhry; Shailender ;   et al.
2007-09-27
Effective Elimination Of Delay Slot Handling From A Front Section Of A Processor Pipeline
App 20070226475 - Chaudhry; Shailender ;   et al.
2007-09-27
Patchable And/or Programmable Pre-decode
App 20070226464 - Chaudhry; Shailender ;   et al.
2007-09-27
Method for reducing lock manipulation overhead during access to critical code sections
Grant 7,269,717 - Tremblay , et al. September 11, 2
2007-09-11
Selectively monitoring stores to support transactional program execution
Grant 7,269,693 - Tremblay , et al. September 11, 2
2007-09-11
Selectively monitoring loads to support transactional program execution
Grant 7,269,694 - Tremblay , et al. September 11, 2
2007-09-11
Hardware acceleration for a software transactional memory system
App 20070186056 - Saha; Bratin ;   et al.
2007-08-09
Technique for using memory attributes
App 20070186055 - Jacobson; Quinn A. ;   et al.
2007-08-09
Method and apparatus for hardware-based dynamic escape detection in managed run-time environments
App 20070162475 - Jacobson; Quinn A. ;   et al.
2007-07-12
Return address stack recovery in a speculative execution computing apparatus
App 20070106888 - Chaudhry; Shailender ;   et al.
2007-05-10
Method and apparatus for supporting one or more servers on a single semiconductor chip
Grant 7,216,202 - Chaudhry , et al. May 8, 2
2007-05-08
Technique for thread communication and synchronization
App 20070088916 - Jacobson; Quinn A. ;   et al.
2007-04-19
Method and apparatus for releasing memory locations during transactional execution
Grant 7,206,903 - Moir , et al. April 17, 2
2007-04-17
Backing Register File for processors
Grant 7,206,925 - Jacobson , et al. April 17, 2
2007-04-17
Logging of level-two cache transactions into banks of the level-two cache for system rollback
Grant 7,191,292 - Chaudhry , et al. March 13, 2
2007-03-13
Translating loads for accelerating virtualized partition
Grant 7,167,970 - Jacobson , et al. January 23, 2
2007-01-23
Method and structure for explicit software control of data speculation
App 20070006195 - Braun; Christof ;   et al.
2007-01-04
Primitives to enhance thread-level speculation
App 20060294326 - Jacobson; Quinn A. ;   et al.
2006-12-28
Method and apparatus for providing fault-tolerance for temporary results within a CPU
Grant 7,124,331 - Tremblay , et al. October 17, 2
2006-10-17
Selectively unmarking load-marked cache lines during transactional program execution
App 20060200632 - Tremblay; Marc ;   et al.
2006-09-07
Selectively unmarking load-marked cache lines during transactional program execution
Grant 7,089,374 - Tremblay , et al. August 8, 2
2006-08-08
Multiple contexts for efficient use of translation lookaside buffer
App 20060161760 - Jordan; Paul J. ;   et al.
2006-07-20
Logging of level-two cache transactions into banks of the level-two cache for system rollback
App 20060136672 - Chaudhry; Shailender ;   et al.
2006-06-22
Method and apparatus for providing error correction within a register file of a CPU
Grant 7,058,877 - Tremblay , et al. June 6, 2
2006-06-06
Start transactional execution (STE) instruction to support transactional program execution
App 20060101254 - Tremblay; Marc ;   et al.
2006-05-11
Translating loads for accelerating virtualized partition
App 20060064567 - Jacobson; Quinn A. ;   et al.
2006-03-23
Method and apparatus for delaying interfering accesses from other threads during transactional program execution
App 20050262301 - Jacobson, Quinn A. ;   et al.
2005-11-24
Storing results of resolvable branches during speculative execution to predict branches during non-speculative execution
App 20050223200 - Tremblay, Marc ;   et al.
2005-10-06
Method and structure for explicit software control of execution of a thread including a helper subthread
App 20050223385 - Braun, Christof ;   et al.
2005-10-06
Method and structure for explicit software control using scoreboard status information
App 20050223194 - Tremblay, Marc ;   et al.
2005-10-06
Facilitating rapid progress while speculatively executing code in scout mode
App 20050223201 - Tremblay, Marc ;   et al.
2005-10-06
Method and apparatus for delaying interfering accesses from other threads during transactional program execution
Grant 6,938,130 - Jacobson , et al. August 30, 2
2005-08-30
Method and apparatus for avoiding locks by speculatively executing critical sections
Grant 6,862,664 - Tremblay , et al. March 1, 2
2005-03-01
Selectively monitoring loads to support transactional program execution
App 20040187116 - Tremblay, Marc ;   et al.
2004-09-23
Selectively unmarking load-marked cache lines during transactional program execution
App 20040187123 - Tremblay, Marc ;   et al.
2004-09-23
Selectively monitoring stores to support transactional program execution
App 20040187115 - Tremblay, Marc ;   et al.
2004-09-23
Start transactional execution (STE) instruction to support transactional program execution
App 20040162967 - Tremblay, Marc ;   et al.
2004-08-19
Method and apparatus for delaying interfering accesses from other threads during transactional program execution
App 20040162951 - Jacobson, Quinn A. ;   et al.
2004-08-19
Fail instruction to support transactional program execution
App 20040162968 - Tremblay, Marc ;   et al.
2004-08-19
Method and apparatus for avoiding locks by speculatively executing critical sections
App 20040162948 - Tremblay, Marc ;   et al.
2004-08-19
Commit instruction to support transactional program execution
App 20040163082 - Tremblay, Marc ;   et al.
2004-08-19
Method and structure for converting data speculation to control speculation
App 20040143821 - Jacobson, Quinn A.
2004-07-22
Explicitly clustered register file and execution unit architecture
Grant 6,757,807 - Jacobson , et al. June 29, 2
2004-06-29
Method and apparatus for providing fault-tolerance for temporary results within a CPU
App 20040078728 - Tremblay, Marc ;   et al.
2004-04-22
Method and apparatus for providing error correction within a register file of a CPU
App 20030217325 - Tremblay, Marc ;   et al.
2003-11-20
Multithreading processor with thread predictor
Grant 6,247,121 - Akkary , et al. June 12, 2
2001-06-12

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed