loadpatents
name:-0.05632495880127
name:-0.037225961685181
name:-0.0051078796386719
Jacobson; Hans M. Patent Filings

Jacobson; Hans M.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Jacobson; Hans M..The latest application filed is for "processor with hybrid pipeline capable of operating in out-of-order and in-order modes".

Company Profile
3.73.70
  • Jacobson; Hans M. - White Plains NY
  • Jacobson; Hans M. - Yorktown Heights NY US
  • Jacobson; Hans M. - Yorktown NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Processor with hybrid pipeline capable of operating in out-of-order and in-order modes
Grant 10,831,504 - Comparan , et al. November 10, 2
2020-11-10
Optimization of application workflow in mobile embedded devices
Grant 10,635,490 - Bertran Monfort , et al.
2020-04-28
Processor With Hybrid Pipeline Capable Of Operating In Out-of-order And In-order Modes
App 20190034208 - Comparan; Miguel ;   et al.
2019-01-31
Processor with hybrid pipeline capable of operating in out-of-order and in-order modes
Grant 10,114,652 - Comparan , et al. October 30, 2
2018-10-30
Active memory device gather, scatter, and filter
Grant 10,049,061 - Fleischer , et al. August 14, 2
2018-08-14
Mechanism for controlling subset of devices
Grant 10,007,242 - Fox , et al. June 26, 2
2018-06-26
Clustering execution in a processing system to increase power savings
Grant 9,933,844 - Bose , et al. April 3, 2
2018-04-03
High bandwidth low latency data exchange between processing elements
Grant 9,928,190 - Fleischer , et al. March 27, 2
2018-03-27
Clustering execution in a processing system to increase power savings
Grant 9,921,639 - Bose , et al. March 20, 2
2018-03-20
High bandwidth low latency data exchange between processing elements
Grant 9,910,802 - Fleischer , et al. March 6, 2
2018-03-06
On-chip traffic prioritization in memory
Grant 9,841,926 - Fleischer , et al. December 12, 2
2017-12-12
Optimization of application workflow in mobile embedded devices
Grant 9,690,555 - Bertran Monfort , et al. June 27, 2
2017-06-27
Delaying execution in a processor to increase power savings
Grant 9,632,560 - Bose , et al. April 25, 2
2017-04-25
Gather/scatter of multiple data elements with packed loading/storing into /from a register file entry
Grant 9,632,778 - Fleischer , et al. April 25, 2
2017-04-25
Delaying execution in a processor to increase power savings
Grant 9,632,559 - Bose , et al. April 25, 2
2017-04-25
Gather/scatter of multiple data elements with packed loading/storing into/from a register file entry
Grant 9,632,777 - Fleischer , et al. April 25, 2
2017-04-25
Vector register file
Grant 9,594,724 - Fleischer , et al. March 14, 2
2017-03-14
Optimization Of Application Workflow In Mobile Embedded Devices
App 20170068521 - Bertran Monfort; Ramon ;   et al.
2017-03-09
Vector register file
Grant 9,582,466 - Fleischer , et al. February 28, 2
2017-02-28
Vector processing in an active memory device
Grant 9,575,755 - Fleischer , et al. February 21, 2
2017-02-21
Predication in a vector processor
Grant 9,575,756 - Fleischer , et al. February 21, 2
2017-02-21
Predication in a vector processor
Grant 9,569,211 - Fleischer , et al. February 14, 2
2017-02-14
Vector processing in an active memory device
Grant 9,535,694 - Fleischer , et al. January 3, 2
2017-01-03
Optimization Of Application Workflow In Mobile Embedded Devices
App 20160378550 - Bertran Monfort; Ramon ;   et al.
2016-12-29
Clustering Execution In A Processing System To Increase Power Savings
App 20160378163 - Bose; Pradip ;   et al.
2016-12-29
Clustering Execution In A Processing System To Increase Power Savings
App 20160378161 - Bose; Pradip ;   et al.
2016-12-29
Mechanism For Controlling Subset Of Devices
App 20160363916 - Fox; Thomas W. ;   et al.
2016-12-15
Low Latency Data Exchange Between Processing Elements
App 20160364364 - Fleischer; Bruce M. ;   et al.
2016-12-15
Low Latency Data Exchange Between Processing Elements
App 20160364352 - Fleischer; Bruce M. ;   et al.
2016-12-15
On-chip Traffic Prioritization In Memory
App 20160313947 - Fleischer; Bruce M. ;   et al.
2016-10-27
Delaying execution in a processor to increase power savings
Grant 9,423,859 - Bose , et al. August 23, 2
2016-08-23
Delaying Execution In A Processor To Increase Power Savings
App 20160239077 - Bose; Pradip ;   et al.
2016-08-18
Delaying Execution In A Processor To Increase Power Savings
App 20160239066 - Bose; Pradip ;   et al.
2016-08-18
Processor With Hybrid Pipeline Capable Of Operating In Out-of-order And In-order Modes
App 20160224351 - Comparan; Miguel ;   et al.
2016-08-04
On-chip traffic prioritization in memory
Grant 9,405,712 - Fleischer , et al. August 2, 2
2016-08-02
On-chip traffic prioritization in memory
Grant 9,405,711 - Fleischer , et al. August 2, 2
2016-08-02
Chaining between exposed vector pipelines
Grant 9,400,656 - Fox , et al. July 26, 2
2016-07-26
Power management for in-memory computer systems
Grant 9,389,675 - Bose , et al. July 12, 2
2016-07-12
Local bypass for in memory computing
Grant 9,390,038 - Fleischer , et al. July 12, 2
2016-07-12
Dynamic power distribution
Grant 9,372,519 - Bose , et al. June 21, 2
2016-06-21
Dynamic hard error detection
Grant 9,373,415 - Bose , et al. June 21, 2
2016-06-21
Processor with hybrid pipeline capable of operating in out-of-order and in-order modes
Grant 9,354,884 - Comparan , et al. May 31, 2
2016-05-31
Power management for multi-core processing systems
Grant 9,354,943 - Bose , et al. May 31, 2
2016-05-31
Power management for a computer system
Grant 9,329,664 - Bose , et al. May 3, 2
2016-05-03
Rotating voltage control
Grant 9,323,302 - Bose , et al. April 26, 2
2016-04-26
Local bypass in memory computing
Grant 9,298,654 - Fleischer , et al. March 29, 2
2016-03-29
Dynamic power distribution
Grant 9,298,234 - Bose , et al. March 29, 2
2016-03-29
Dynamic hard error detection
Grant 9,281,079 - Bose , et al. March 8, 2
2016-03-08
Low latency data exchange
Grant 9,274,971 - Fleischer , et al. March 1, 2
2016-03-01
Low latency data exchange
Grant 9,268,704 - Fleischer , et al. February 23, 2
2016-02-23
Chaining between exposed vector pipelines
Grant 9,250,916 - Fox , et al. February 2, 2
2016-02-02
Memory page management
Grant 9,251,048 - Fleischer , et al. February 2, 2
2016-02-02
Power management for a computer system
Grant 9,201,490 - Bose , et al. December 1, 2
2015-12-01
Power-constrained compiler code generation and scheduling of work in a heterogeneous processing system
Grant 9,183,063 - Jacobson , et al. November 10, 2
2015-11-10
Delaying Execution In A Processor To Increase Power Savings
App 20150286261 - Bose; Pradip ;   et al.
2015-10-08
Power Management For Multi-core Processing Systems
App 20150268710 - Bose; Pradip ;   et al.
2015-09-24
Power-constrained compiler code generation and scheduling of work in a heterogeneous processing system
Grant 9,110,734 - Jacobson , et al. August 18, 2
2015-08-18
Address generation in an active memory device
Grant 9,110,778 - Fleischer , et al. August 18, 2
2015-08-18
Sequential location accesses in an active memory device
Grant 9,104,532 - Fleischer , et al. August 11, 2
2015-08-11
Main processor support of tasks performed in memory
Grant 9,104,465 - Fleischer , et al. August 11, 2
2015-08-11
Main processor support of tasks performed in memory
Grant 9,104,464 - Fleischer , et al. August 11, 2
2015-08-11
Power Management For In-memory Computer Systems
App 20150177811 - Bose; Pradip ;   et al.
2015-06-25
Rotating Voltage Control
App 20150177796 - Bose; Pradip ;   et al.
2015-06-25
Active buffered memory
Grant 9,003,160 - Fleischer , et al. April 7, 2
2015-04-07
Exposed-pipeline processing element with rollback
Grant 8,990,620 - Fleischer , et al. March 24, 2
2015-03-24
Exposed-pipeline processing element with rollback
Grant 8,972,782 - Fleischer , et al. March 3, 2
2015-03-03
Power Management For A Computer System
App 20140281629 - Bose; Pradip ;   et al.
2014-09-18
Chaining Between Exposed Vector Pipelines
App 20140281403 - Fox; Thomas W. ;   et al.
2014-09-18
Local Bypass For In Memory Computing
App 20140281100 - Fleischer; Bruce M. ;   et al.
2014-09-18
Processor With Hybrid Pipeline Capable Of Operating In Out-of-order And In-order Modes
App 20140281402 - Comparan; Miguel ;   et al.
2014-09-18
Local Bypass For In Memory Computing
App 20140281084 - Fleischer; Bruce M. ;   et al.
2014-09-18
Chaining Between Exposed Vector Pipelines
App 20140281386 - Fox; Thomas W. ;   et al.
2014-09-18
Power Management For A Computer System
App 20140281605 - Bose; Pradip ;   et al.
2014-09-18
Dynamic Hard Error Detection
App 20140229784 - Bose; Pradip ;   et al.
2014-08-14
Dynamic Hard Error Detection
App 20140229776 - Bose; Pradip ;   et al.
2014-08-14
On-chip Traffic Prioritization In Memory
App 20140195743 - Fleischer; Bruce M. ;   et al.
2014-07-10
On-chip Traffic Prioritization In Memory
App 20140195744 - Fleischer; Bruce M. ;   et al.
2014-07-10
Sequential Location Accesses In An Active Memory Device
App 20140173224 - Fleischer; Bruce M. ;   et al.
2014-06-19
Dynamic Power Distribution
App 20140148927 - Bose; Pradip ;   et al.
2014-05-29
Dynamic Power Distribution
App 20140148961 - Bose; Pradip ;   et al.
2014-05-29
Low Latency Data Exchange
App 20140149673 - Fleischer; Bruce M. ;   et al.
2014-05-29
Low Latency Data Exchange
App 20140149680 - Fleischer; Bruce M. ;   et al.
2014-05-29
Exposed-pipeline Processing Element With Rollback
App 20140136895 - Fleischer; Bruce M. ;   et al.
2014-05-15
Power-constrained Compiler Code Generation And Scheduling Of Work In A Heterogeneous Processing System
App 20140136857 - Jacobson; Hans M. ;   et al.
2014-05-15
Power-constrained Compiler Code Generation And Scheduling Of Work In A Heterogeneous Processing System
App 20140136858 - Jacobson; Hans M. ;   et al.
2014-05-15
Active Memory Device Gather, Scatter, And Filter
App 20140136811 - Fleischer; Bruce M. ;   et al.
2014-05-15
Exposed-pipeline Processing Element With Rollback
App 20140136894 - Fleischer; Bruce M. ;   et al.
2014-05-15
Main Processor Support Of Tasks Performed In Memory
App 20140130051 - Fleischer; Bruce M. ;   et al.
2014-05-08
Address Generation In An Active Memory Device
App 20140129799 - Fleischer; Bruce M. ;   et al.
2014-05-08
Main Processor Support Of Tasks Performed In Memory
App 20140130050 - Fleischer; Bruce M. ;   et al.
2014-05-08
Memory Page Management
App 20140115294 - Fleischer; Bruce M. ;   et al.
2014-04-24
Vector Register File
App 20140047214 - Fleischer; Bruce M. ;   et al.
2014-02-13
Vector Register File
App 20140047211 - Fleischer; Bruce M. ;   et al.
2014-02-13
Vector Processing In An Active Memory Device
App 20140040603 - Fleischer; Bruce M. ;   et al.
2014-02-06
Active Buffered Memory
App 20140040592 - Fleischer; Bruce M. ;   et al.
2014-02-06
Vector Processing In An Active Memory Device
App 20140040598 - Fleischer; Bruce M. ;   et al.
2014-02-06
Packed Load/store With Gather/scatter
App 20140040596 - Fleischer; Bruce M. ;   et al.
2014-02-06
Predication In A Vector Processor
App 20140040601 - Fleischer; Bruce M. ;   et al.
2014-02-06
Packed Load/store With Gather/scatter
App 20140040599 - Fleischer; Bruce M. ;   et al.
2014-02-06
Predication In A Vector Processor
App 20140040597 - Fleischer; Bruce M. ;   et al.
2014-02-06
Voltage regulator module with power gating and bypass
Grant 8,564,262 - Bose , et al. October 22, 2
2013-10-22
Task assignment on heterogeneous three-dimensional/stacked microarchitectures
Grant 8,424,006 - Jacobson , et al. April 16, 2
2013-04-16
Wave pipeline with selectively opaque register stages
Grant 8,176,354 - Jacobson May 8, 2
2012-05-08
Task Assignment On Heterogeneous Three-dimensional/stacked Microarchitectures
App 20110302582 - Jacobson; Hans M. ;   et al.
2011-12-08
Wave Pipeline With Selectively Opaque Register Stages
App 20110239036 - Jacobson; Hans M.
2011-09-29
Interlocked synchronous pipeline clock gating
Grant 7,685,457 - Jacobson , et al. March 23, 2
2010-03-23
Method of stalling one or more stages in an interlocked synchronous pipeline
Grant 7,475,227 - Jacobson , et al. January 6, 2
2009-01-06
Interlocked Synchronous Pipeline Clock Gating
App 20070294548 - JACOBSON; Hans M. ;   et al.
2007-12-20
Interlocked synchronous pipeline clock gating
Grant 7,308,593 - Jacobson , et al. December 11, 2
2007-12-11
System and method for topology selection to minimize leakage power during synthesis
Grant 7,100,144 - Jacobson , et al. August 29, 2
2006-08-29
Interlocked synchronous pipeline clock gating
App 20060161795 - Jacobson; Hans M. ;   et al.
2006-07-20
Interlocked synchronous pipeline clock gating
App 20060156046 - Jacobson; Hans M. ;   et al.
2006-07-13
Processor with demand-driven clock throttling power reduction
Grant 7,076,681 - Bose , et al. July 11, 2
2006-07-11
Synchronous pipeline with normally transparent pipeline stages
Grant 7,076,682 - Jacobson July 11, 2
2006-07-11
Interlocked synchronous pipeline clock gating
Grant 7,065,665 - Jacobson , et al. June 20, 2
2006-06-20
Synchronous pipeline with normally transparent pipeline stages
App 20050251699 - Jacobson, Hans M.
2005-11-10
Method and structure for short range leakage control in pipelined circuits
Grant 6,946,869 - Jacobson , et al. September 20, 2
2005-09-20
System and method for topology selection to minimize leakage power during synthesis
App 20050125761 - Jacobson, Hans M. ;   et al.
2005-06-09
Method and structure for short range leakage control in pipelined circuits
App 20050083081 - Jacobson, Hans M. ;   et al.
2005-04-21
Interlocked synchronous pipeline clock gating
App 20040068640 - Jacobson, Hans M. ;   et al.
2004-04-08
Processor with demand-driven clock throttling power reduction
App 20040044915 - Bose, Pradip ;   et al.
2004-03-04
Low-power circuit structures and methods for content addressable memories and random access memories
Grant 6,608,771 - Jacobson , et al. August 19, 2
2003-08-19
Low-power Circuit Structures And Methods For Content Addressable Memories And Random Access Memories
App 20030043665 - Jacobson, Hans M. ;   et al.
2003-03-06

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed