loadpatents
name:-0.070044994354248
name:-0.10170292854309
name:-0.012331962585449
Huang; Chen-Ming Patent Filings

Huang; Chen-Ming

Patent Applications and Registrations

Patent applications and USPTO patent grants for Huang; Chen-Ming.The latest application filed is for "fingerprint sensing system".

Company Profile
11.70.69
  • Huang; Chen-Ming - Hsinchu County TW
  • Huang; Chen-Ming - Hsinchu TW
  • Huang; Chen-Ming - Tainan City TW
  • Huang; Chen-Ming - Tainan TW
  • HUANG; Chen-Ming - Taipei City TW
  • Huang; Chen-Ming - Kaohsiung TW
  • Huang; Chen-Ming - Taipei TW
  • HUANG; Chen-Ming - Hsinchu City TW
  • Huang; Chen-Ming - Hsin-Chu TW
  • HUANG; Chen-Ming - Kaohsiung City TW
  • Huang; Chen-Ming - Hsinch City TW
  • Huang; Chen-Ming - Jhongli TW
  • Huang; Chen-Ming - Hsin-Chu City TW
  • Huang; Chen-Ming - Jhongli City TW
  • Huang; Chen-Ming - Taoyuan TW
  • Huang; Chen-Ming - Jungli TW
  • Huang, Chen-Ming - Jungli City TW
  • Huang, Chen-Ming - Taoyuan County TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Fingerprint Sensing System
App 20220245374 - Huang; Chen-Ming
2022-08-04
Method of using a polishing system
Grant 11,358,252 - Lin , et al. June 14, 2
2022-06-14
Semiconductor Device And Method Of Manufacturing
App 20210351195 - Lin; Josh ;   et al.
2021-11-11
Semiconductor device and method of manufacturing
Grant 11,075,212 - Lin , et al. July 27, 2
2021-07-27
Sustained-release Formulation Containing Pregabalin
App 20210205247 - LI; Chih-Hung ;   et al.
2021-07-08
Portable human exoskeleton system
Grant 10,918,558 - Huang , et al. February 16, 2
2021-02-16
Semiconductor Device And Method For Fabricating The Same
App 20200258788 - A1
2020-08-13
Semiconductor Device And Method Of Manufacturing
App 20200227425 - Lin; Josh ;   et al.
2020-07-16
Semiconductor device and method for fabricating the same
Grant 10,679,903 - Lin , et al.
2020-06-09
Semiconductor device and method of manufacturing
Grant 10,629,605 - Lin , et al.
2020-04-21
Method Of Using A Polishing System
App 20190337116 - LIN; Shih-Chi ;   et al.
2019-11-07
Polishing system
Grant 10,357,867 - Lin , et al. July 23, 2
2019-07-23
Semiconductor Device And Method For Fabricating The Same
App 20190172753 - Lin; Ching-Ling ;   et al.
2019-06-06
Method for fabricating semiconductor device
Grant 10,312,146 - Lin , et al.
2019-06-04
Semiconductor device with metal gate
Grant 10,290,723 - Lu , et al.
2019-05-14
Semiconductor device and method of manufacturing
Grant 10,263,004 - Lin , et al.
2019-04-16
Semiconductor Device And Method Of Manufacturing
App 20190109146 - Lin; Josh ;   et al.
2019-04-11
Semiconductor Device And Method Of Manufacturing
App 20190043870 - Lin; Josh ;   et al.
2019-02-07
Method For Fabricating Semiconductor Device
App 20190019731 - Lin; Ching-Ling ;   et al.
2019-01-17
Semiconductor Device With Metal Gate
App 20180269308 - Lu; Chun-Tsen ;   et al.
2018-09-20
Method for fabricating semiconductor device
Grant 10,032,675 - Tseng , et al. July 24, 2
2018-07-24
Semiconductor device having metal gate with nitrogen rich portion and titanium rich portion
Grant 10,008,581 - Lu , et al. June 26, 2
2018-06-26
Wing-type projection between neighboring access transistors in memory devices
Grant 9,947,678 - Hsieh , et al. April 17, 2
2018-04-17
Fin structure cutting process
Grant 9,859,147 - Huang , et al. January 2, 2
2018-01-02
Semiconductor device and method for fabricating the same
Grant 9,824,931 - Tseng , et al. November 21, 2
2017-11-21
Polishing System
App 20170312881 - LIN; Shih-Chi ;   et al.
2017-11-02
Method for forming fin structures for non-planar semiconductor device
Grant 9,786,502 - Li , et al. October 10, 2
2017-10-10
Method For Forming Fin Structures For Non-planar Semiconductor Device
App 20170263454 - Li; Kuan-Hsien ;   et al.
2017-09-14
Method of fabricating semiconductor device
Grant 9,748,144 - Lin , et al. August 29, 2
2017-08-29
Polishing system and polishing method
Grant 9,718,164 - Lin , et al. August 1, 2
2017-08-01
Method For Fabricating Semiconductor Device
App 20170207129 - Tseng; I-Ming ;   et al.
2017-07-20
Semiconductor Device And Method For Fabricating The Same
App 20170154823 - Tseng; I-Ming ;   et al.
2017-06-01
Semiconductor device and method for fabricating the same
Grant 9,653,402 - Tseng , et al. May 16, 2
2017-05-16
Semiconductor Device And Method Of Fabricating The Same
App 20170092643 - Tseng; I-Ming ;   et al.
2017-03-30
Semiconductor device and method of fabricating the same
Grant 9,607,985 - Tseng , et al. March 28, 2
2017-03-28
Semiconductor structure and method of forming the same
Grant 9,608,062 - Tseng , et al. March 28, 2
2017-03-28
Fin Structure Cutting Process
App 20170047244 - Huang; Tong-Jyun ;   et al.
2017-02-16
Semiconductor Device With Metal Gate
App 20170040435 - Lu; Chun-Tsen ;   et al.
2017-02-09
Semiconductor Device And Method For Fabricating The Same
App 20170012000 - Tseng; I-Ming ;   et al.
2017-01-12
Fin structure and fin structure cutting process
Grant 9,524,909 - Huang , et al. December 20, 2
2016-12-20
Wing-type Projection Between Neighboring Access Transistors In Memory Devices
App 20160358930 - Hsieh; Chia-Ta ;   et al.
2016-12-08
Sustained Release Pharmaceutical Composition And Preparation Method Thereof
App 20160354328 - HUANG; Chen-Ming ;   et al.
2016-12-08
Two-step shallow trench isolation (STI) process
Grant 9,502,280 - Hong , et al. November 22, 2
2016-11-22
Method of removing a hard mask on a gate
Grant 9,484,263 - Lin , et al. November 1, 2
2016-11-01
Fin Structure And Fin Structure Cutting Process
App 20160293491 - Huang; Tong-Jyun ;   et al.
2016-10-06
Semiconductor Device And Method For Fabricating The Same
App 20160276429 - Tseng; I-Ming ;   et al.
2016-09-22
Method of forming semiconductor device
Grant 9,443,952 - Lu , et al. September 13, 2
2016-09-13
Wing-type projection between neighboring access transistors in memory devices
Grant 9,437,603 - Hsieh , et al. September 6, 2
2016-09-06
Semiconductor test structures
Grant 9,377,503 - Tu , et al. June 28, 2
2016-06-28
Portable Human Exoskeleton System
App 20160158087 - HUANG; Chen-Ming ;   et al.
2016-06-09
Fin Shaped Structure And Method Of Forming The Same
App 20160141387 - Tseng; I-Ming ;   et al.
2016-05-19
Nitrogen-containing oxide film and method of forming the same
Grant 9,330,901 - Tu , et al. May 3, 2
2016-05-03
Wing-type Projection Between Neighboring Access Transistors In Memory Devices
App 20160104713 - Hsieh; Chia-Ta ;   et al.
2016-04-14
Method Of Forming Semiconductor Device
App 20160099179 - Lu; Chun-Tsen ;   et al.
2016-04-07
Method of making backside illuminated image sensors
Grant 9,257,326 - Huang , et al. February 9, 2
2016-02-09
Semiconductor test structures
Grant 9,250,286 - Tu , et al. February 2, 2
2016-02-02
Method For Manufacturing A Semiconductor Device Having Multi-layer Hard Mask
App 20150255563 - Wu; Yen-Liang ;   et al.
2015-09-10
Two-Step Shallow Trench Isolation (STI) Process
App 20150179502 - Hong; Min Hao ;   et al.
2015-06-25
Method Of Making Backside Illuminated Image Sensors
App 20150111334 - HUANG; Kuan-Chieh ;   et al.
2015-04-23
Two-step shallow trench isolation (STI) process
Grant 9,006,070 - Hong , et al. April 14, 2
2015-04-14
Method of fabricating backside-illuminated image sensor
Grant 8,952,474 - Huang , et al. February 10, 2
2015-02-10
Backside illuminated image sensors and method of making the same
Grant 8,946,847 - Huang , et al. February 3, 2
2015-02-03
Nitrogen-containing Oxide Film And Method Of Forming The Same
App 20140246758 - Tu; An-Chun ;   et al.
2014-09-04
Method for reducing topography of non-volatile memory and resulting memory cells
Grant 8,809,179 - Wang , et al. August 19, 2
2014-08-19
Method and apparatus for preparing polysilazane on a semiconductor wafer
Grant 8,796,105 - Chou , et al. August 5, 2
2014-08-05
Backside-illuminated image sensor having a supporting substrate
Grant 8,791,541 - Huang , et al. July 29, 2
2014-07-29
Semiconductor Test Structures
App 20140203282 - Tu; An-Chun ;   et al.
2014-07-24
Semiconductor Test Structures
App 20140206113 - Tu; An-Chun ;   et al.
2014-07-24
Two-step Shallow Trench Isolation (sti) Process
App 20140179071 - Hong; Min Hao ;   et al.
2014-06-26
Polishing System And Polishing Method
App 20140162534 - LIN; Shih-Chi ;   et al.
2014-06-12
Backside Illuminated Image Sensors And Method Of Making The Same
App 20140151835 - HUANG; Kuan-Chieh ;   et al.
2014-06-05
Semiconductor test structures
Grant 8,704,224 - Tu , et al. April 22, 2
2014-04-22
Two-step shallow trench isolation (STI) process
Grant 8,692,299 - Hong , et al. April 8, 2
2014-04-08
Isolation structure for backside illuminated image sensor
Grant 8,674,469 - Huang , et al. March 18, 2
2014-03-18
Two-step Shallow Trench Isolation (sti) Process
App 20140054653 - Hong; Min Hao ;   et al.
2014-02-27
Method And Apparatus For Preparing Polysilazane On A Semiconductor Wafer
App 20140030866 - Chou; You-Hua ;   et al.
2014-01-30
Self-aligned static random access memory (SRAM) on metal gate
Grant 8,614,131 - Tu , et al. December 24, 2
2013-12-24
Enhanced defect scanning
Grant 8,605,276 - Wu , et al. December 10, 2
2013-12-10
Method for reducing contact resistance of CMOS image sensor
Grant 8,586,404 - Huang , et al. November 19, 2
2013-11-19
Enhanced Defect Scanning
App 20130107248 - Wu; Chih-Jen ;   et al.
2013-05-02
Method Of Fabricating Backside-illuminated Image Sensor
App 20130093036 - Huang; Kuan-Chieh ;   et al.
2013-04-18
Semiconductor Test Structures
App 20130076385 - Tu; An-Chun ;   et al.
2013-03-28
Advanced process control for gate profile control
Grant 8,352,062 - Wu , et al. January 8, 2
2013-01-08
Method Of Fabricating Backside-illuminated Image Sensor
App 20130001725 - Huang; Kuan-Chieh ;   et al.
2013-01-03
Method For Reducing Contact Resistance Of Cmos Image Sensor
App 20120288982 - HUANG; Kuan-Chieh ;   et al.
2012-11-15
Method of fabricating backside-illuminated image sensor
Grant 8,283,745 - Huang , et al. October 9, 2
2012-10-09
Method for reducing contact resistance of CMOS image sensor
Grant 8,247,262 - Huang , et al. August 21, 2
2012-08-21
Flash memory cell with split gate structure and method for forming the same
Grant 7,951,670 - Huang , et al. May 31, 2
2011-05-31
Method Of Fabricating Backside-illuminated Image Sensor
App 20110108940 - Huang; Kuan-Chieh ;   et al.
2011-05-12
Phase change memory element and method for forming the same
Grant 7,868,311 - Huang January 11, 2
2011-01-11
Method For Reducing Contact Resistance Of Cmos Image Sensor
App 20100279459 - HUANG; Kuan-Chieh ;   et al.
2010-11-04
Isolation Structure For Backside Illuminated Image Sensor
App 20100270636 - HUANG; Kuan-Chieh ;   et al.
2010-10-28
Advanced Process Control For Gate Profile Control
App 20100234975 - Wu; Chih-Jen ;   et al.
2010-09-16
Novel Self-aligned Static Random Access Memory (sram) On Metal Gate
App 20100197141 - Tu; An-Chun ;   et al.
2010-08-05
Split-gate memory cells and fabrication methods thereof
Grant 7,667,261 - Hsieh , et al. February 23, 2
2010-02-23
Split-gate memory cells and fabrication methods thereof
Grant 7,652,318 - Hsieh , et al. January 26, 2
2010-01-26
Phase Change Memory Element And Method For Forming The Same
App 20090250691 - Huang; Chen-Ming
2009-10-08
Phase change memory devices and methods for manufacturing the same
Grant 7,569,909 - Huang August 4, 2
2009-08-04
High write and erase efficiency embedded flash cell
Grant 7,557,402 - Shyu , et al. July 7, 2
2009-07-07
Phase Change Memory Devices And Methods For Manufacturing The Same
App 20080241741 - Huang; Chen-Ming
2008-10-02
Split-gate memory cells and fabrication methods thereof
App 20080121975 - Hsieh; Chang-Jen ;   et al.
2008-05-29
Split-gate memory cells and fabrication methods thereof
App 20080105917 - Hsieh; Chang-Jen ;   et al.
2008-05-08
Method for reducing topography of non-volatile memory and resulting memory cells
App 20070241386 - Wang; Shih Wei ;   et al.
2007-10-18
Flash memory cell with split gate structure and method for forming the same
App 20070205436 - Huang; Chen-Ming ;   et al.
2007-09-06
High write and erase efficiency embedded flash cell
App 20070063248 - Shyu; Der-Shin ;   et al.
2007-03-22
High write and erase efficiency embedded flash cell
Grant 7,176,083 - Shyu , et al. February 13, 2
2007-02-13
Method of forming an embedded flash memory device
Grant 7,056,791 - Shyu , et al. June 6, 2
2006-06-06
High write and erase efficiency embedded flash cell
App 20050282337 - Shyu, Der-Shin ;   et al.
2005-12-22
Etching method for forming a square cornered polysilicon wordline electrode
Grant 6,921,695 - Ouyang , et al. July 26, 2
2005-07-26
Etching method for forming a square cornered polysilicon wordline electrode
App 20050079672 - Ouyang, Hsiu ;   et al.
2005-04-14
Embedded flash memory cell having improved programming and erasing efficiency
Grant 6,878,986 - Shyu , et al. April 12, 2
2005-04-12
Method of reducing stress migration in integrated circuits
Grant 6,855,648 - Huang , et al. February 15, 2
2005-02-15
Method for improving interlevel dielectric gap filling over semiconductor structures having high aspect ratios
Grant 6,849,546 - Tu , et al. February 1, 2
2005-02-01
Method Of Reducing Stress Migration In Integrated Circuits
App 20040259376 - Huang, Chen-Ming ;   et al.
2004-12-23
Method of forming an embedded flash memory device
App 20040248367 - Shyu, Der-Shin ;   et al.
2004-12-09
Architecture to suppress bit-line leakage
Grant 6,819,593 - Shyu , et al. November 16, 2
2004-11-16
Embedded flash memory cell having improved programming and erasing efficiency
App 20040188750 - Shyu, Der-Shin ;   et al.
2004-09-30
Novel architecture to suppress bit-line leakage
App 20040114435 - Shyu, Der-Shin ;   et al.
2004-06-17
Local electrochemical deplating of alignment mark regions of semiconductor wafers
Grant 6,693,365 - Huang , et al. February 17, 2
2004-02-17
Poly etching solution to improve silicon trench for low STI profile
Grant 6,649,489 - Chang , et al. November 18, 2
2003-11-18
Stable plating performance in copper electrochemical plating
Grant 6,638,409 - Huang , et al. October 28, 2
2003-10-28
Local electrochemical deplating of alignment mark regions of semiconductor wafers
App 20030159935 - Huang, Chen-Ming ;   et al.
2003-08-28

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed