loadpatents
name:-0.082167863845825
name:-0.056136846542358
name:-0.031841039657593
HAWRYLCHAK; Lara Patent Filings

HAWRYLCHAK; Lara

Patent Applications and Registrations

Patent applications and USPTO patent grants for HAWRYLCHAK; Lara.The latest application filed is for "process system with variable flow valve".

Company Profile
32.53.74
  • HAWRYLCHAK; Lara - Gilroy CA
  • Hawrylchak; Lara - San Jose CA
  • Hawrylchak; Lara - Santa Clara CA US
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Process System With Variable Flow Valve
App 20220223383 - SHONO; Eric Kihara ;   et al.
2022-07-14
Methods For Calibrating An Optical Emission Spectrometer
App 20220178747 - LO; Kin Pong ;   et al.
2022-06-09
Plasma-enhanced anneal chamber for wafer outgassing
Grant 11,348,769 - Hawrylchak , et al. May 31, 2
2022-05-31
Novel And Effective Homogenize Flow Mixing Design
App 20220165547 - PANDEY; Vishwas Kumar ;   et al.
2022-05-26
Apparatus And System For Delivering Gas To A Process Chamber
App 20220154338 - OLSEN; Christopher S. ;   et al.
2022-05-19
Gas injection apparatus with heating channels
Grant 11,268,193 - Hawrylchak , et al. March 8, 2
2022-03-08
Integrated Epitaxy And Preclean System
App 20220059342 - HAWRYLCHAK; Lara ;   et al.
2022-02-24
Integrated epitaxy and preclean system
Grant 11,164,737 - Hawrylchak , et al. November 2, 2
2021-11-02
Gas Injector With Baffle
App 20210322934 - PANDEY; Vishwas Kumar ;   et al.
2021-10-21
Non-disappearing anode for use with dielectric deposition
Grant 11,114,289 - Cox , et al. September 7, 2
2021-09-07
Argon addition to remote plasma oxidation
Grant 11,081,340 - Lo , et al. August 3, 2
2021-08-03
Gas injector with baffle
Grant 11,077,410 - Pandey , et al. August 3, 2
2021-08-03
Method And Apparatus For Selective Nitridation Process
App 20210202702 - ROGERS; Matthew Scott ;   et al.
2021-07-01
Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
Grant 11,049,719 - Hawrylchak , et al. June 29, 2
2021-06-29
Finned rotor cover
Grant 11,004,704 - Hawrylchak , et al. May 11, 2
2021-05-11
Thin film treatment process
Grant 10,971,357 - Liu , et al. April 6, 2
2021-04-06
Thermal processing chamber with low temperature control
Grant 10,948,353 - Hawrylchak , et al. March 16, 2
2021-03-16
Method and apparatus for selective nitridation process
Grant 10,950,698 - Rogers , et al. March 16, 2
2021-03-16
Gas Injection Apparatus With Heating Channels
App 20210040612 - HAWRYLCHAK; Lara ;   et al.
2021-02-11
Method And Apparatus For Precleaning A Substrate Surface Prior To Epitaxial Growth
App 20210010160 - OLSEN; Christopher S. ;   et al.
2021-01-14
Plasma-enhanced Anneal Chamber For Wafer Outgassing
App 20200402780 - HAWRYLCHAK; Lara ;   et al.
2020-12-24
Method and apparatus for precleaning a substrate surface prior to epitaxial growth
Grant 10,837,122 - Olsen , et al. November 17, 2
2020-11-17
High Pressure Rf-dc Sputtering And Methods To Improve Film Uniformity And Step-coverage Of This Process
App 20200357616 - ALLEN; Adolph Miller ;   et al.
2020-11-12
Plasma-enhanced anneal chamber for wafer outgassing
Grant 10,770,272 - Hawrylchak , et al. Sep
2020-09-08
Non-contact temperature calibration tool for a substrate support and method of using the same
Grant 10,763,141 - Merchant , et al. Sep
2020-09-01
High pressure RF-DC sputtering and methods to improve film uniformity and step-coverage of this process
Grant 10,763,090 - Allen , et al. Sep
2020-09-01
Semiconductor processing chamber
Grant 10,741,428 - Hunter , et al. A
2020-08-11
Argon Addition To Remote Plasma Oxidation
App 20200251331 - Kind Code
2020-08-06
Asymmetric Injection For Better Wafer Uniformity
App 20200240014 - Shono; Eric Kihara ;   et al.
2020-07-30
Gas injection apparatus with heating channels
Grant 10,689,757 - Hawrylchak , et al.
2020-06-23
Thermal Processing Chamber With Low Temperature Control
App 20200149968 - HAWRYLCHAK; Lara ;   et al.
2020-05-14
Argon addition to remote plasma oxidation
Grant 10,636,650 - Lo , et al.
2020-04-28
Showerhead design
Grant 10,626,500 - Shah , et al.
2020-04-21
Thin Film Treatment Process
App 20200111659 - LIU; Wei ;   et al.
2020-04-09
Thermal cooling member with low temperature control
Grant 10,571,337 - Hawrylchak , et al. Feb
2020-02-25
Apparatus and methods for backside passivation
Grant 10,535,513 - Hawrylchak , et al. Ja
2020-01-14
Process Chamber Process Kit With Protective Coating
App 20190385825 - WU; Jian ;   et al.
2019-12-19
Method And Apparatus For Precleaning A Substrate Surface Prior To Epitaxial Growth
App 20190382917 - OLSEN; Christopher S. ;   et al.
2019-12-19
Method and apparatus for precleaning a substrate surface prior to epitaxial growth
Grant 10,428,441 - Olsen , et al. October 1, 2
2019-10-01
Fast Response Pedestal Assembly For Selective Preclean
App 20190272982 - HAWRYLCHAK; Lara ;   et al.
2019-09-05
Argon Addition To Remote Plasma Oxidation
App 20190221427 - LO; Hansel ;   et al.
2019-07-18
Showerhead Design
App 20190194810 - SHAH; Kartik ;   et al.
2019-06-27
Plasma treating a process chamber
Grant 10,290,504 - Liu , et al.
2019-05-14
Gas Injector With Baffle
App 20190105614 - PANDEY; Vishwas Kumar ;   et al.
2019-04-11
Method And Apparatus For Selective Nitridation Process
App 20190088485 - ROGERS; Matthew Scott ;   et al.
2019-03-21
Showerhead design
Grant 10,221,483 - Shah , et al.
2019-03-05
Epitaxy System Integrated With High Selectivity Oxide Removal And High Temperature Contaminant Removal
App 20190067006 - HAWRYLCHAK; Lara ;   et al.
2019-02-28
Integrated Epitaxy And Preclean System
App 20190066998 - HAWRYLCHAK; Lara ;   et al.
2019-02-28
Integrated Epitaxy System High Temperature Contaminant Removal
App 20190062904 - HAWRYLCHAK; Lara ;   et al.
2019-02-28
Substrate support ring for more uniform layer thickness
Grant 10,211,046 - Pan , et al. Feb
2019-02-19
Remote Plasma Oxidation Chamber
App 20180347045 - OLSEN; Christopher S. ;   et al.
2018-12-06
Thermal Processing Chamber With Low Temperature Control
App 20180340832 - HAWRYLCHAK; Lara ;   et al.
2018-11-29
Apparatus And Methods For Backside Passivation
App 20180294153 - Hawrylchak; Lara ;   et al.
2018-10-11
Finned Rotor Cover
App 20180269083 - HAWRYLCHAK; Lara ;   et al.
2018-09-20
Non-contact Temperature Calibration Tool For A Substrate Support And Method Of Using The Same
App 20180269089 - MERCHANT; Niraj ;   et al.
2018-09-20
Rotor Cover
App 20180254206 - Hawrylchak; Lara ;   et al.
2018-09-06
Method and apparatus for selective nitridation process
Grant 10,049,881 - Rogers , et al. August 14, 2
2018-08-14
Apparatus and methods for backside passivation
Grant 10,020,187 - Hawrylchak , et al. July 10, 2
2018-07-10
Gas Injection Apparatus With Heating Channels
App 20180187305 - HAWRYLCHAK; Lara ;   et al.
2018-07-05
Adjustable process spacing, centering, and improved gas conductance
Grant 9,978,569 - Hawrylchak , et al. May 22, 2
2018-05-22
Plasma Treating A Process Chamber
App 20180082847 - LIU; Wei ;   et al.
2018-03-22
Method And Apparatus For Precleaning A Substrate Surface Prior To Epitaxial Growth
App 20180016705 - OLSEN; Christopher S. ;   et al.
2018-01-18
H.sub.2/O.sub.2 side inject to improve process uniformity for low temperature oxidation process
Grant 9,869,017 - Tjandra , et al. January 16, 2
2018-01-16
Plasma treating a process chamber
Grant 9,831,091 - Liu , et al. November 28, 2
2017-11-28
Non-disappearing Anode For Use With Dielectric Deposition
App 20170316924 - COX; Michael S. ;   et al.
2017-11-02
Plasma-enhanced Anneal Chamber For Wafer Outgassing
App 20170294292 - HAWRYLCHAK; Lara ;   et al.
2017-10-12
Semiconductor Processing Chamber
App 20170294325 - HUNTER; Aaron Muir ;   et al.
2017-10-12
In-situ removable electrostatic chuck
Grant 9,773,692 - Cox , et al. September 26, 2
2017-09-26
Showerhead for a semiconductor processing chamber
Grant D790,039 - Hawrylchak , et al. June 20, 2
2017-06-20
Method and apparatus for precleaning a substrate surface prior to epitaxial growth
Grant 9,683,308 - Olsen , et al. June 20, 2
2017-06-20
In-situ Removable Electrostatic Chuck
App 20170062260 - COX; Michael S. ;   et al.
2017-03-02
High Pressure Rf-dc Sputtering And Methods To Improve Film Uniformity And Step-coverage Of This Process
App 20170029941 - ALLEN; Adolph Miller ;   et al.
2017-02-02
Adjustable Process Spacing, Centering, And Improved Gas Conductance
App 20170018413 - HAWRYLCHAK; Lara ;   et al.
2017-01-19
Process Chamber
App 20160358781 - LIU; Wei ;   et al.
2016-12-08
Methods and apparatus for selective oxidation of a substrate
Grant 9,514,968 - Tjandra , et al. December 6, 2
2016-12-06
In-situ removable electrostatic chuck
Grant 9,508,584 - Cox , et al. November 29, 2
2016-11-29
Adjustable process spacing, centering, and improved gas conductance
Grant 9,464,349 - Hawrylchak , et al. October 11, 2
2016-10-11
H2/o2 Side Inject To Improve Process Uniformity For Low Temperature Oxidation Process
App 20160010206 - TJANDRA; Agus Sofian ;   et al.
2016-01-14
Showerhead Design
App 20150329966 - SHAH; Kartik ;   et al.
2015-11-19
Methods And Apparatus For Processing Substrates Using An Ion Shield
App 20150332941 - TOBIN; JEFFREY ;   et al.
2015-11-19
Process kit for RF physical vapor deposition
Grant 9,123,511 - Young , et al. September 1, 2
2015-09-01
Adjustable process spacing, centering, and improved gas conductance
Grant 9,096,926 - Hawrylchak , et al. August 4, 2
2015-08-04
Methods And Apparatus For Selective Oxidation Of A Substrate
App 20150206777 - TJANDRA; AGUS ;   et al.
2015-07-23
Extended Life Deposition Ring
App 20150190835 - HAWRYLCHAK; Lara
2015-07-09
Methods and apparatus for processing substrates using an ion shield
Grant 9,048,190 - Tobin , et al. June 2, 2
2015-06-02
Methods and apparatus for selective oxidation of a substrate
Grant 8,993,458 - Tjandra , et al. March 31, 2
2015-03-31
Method And Apparatus For Precleaning A Substrate Surface Prior To Epitaxial Growth
App 20150040822 - OLSEN; Christopher S. ;   et al.
2015-02-12
In-situ Removable Electrostatic Chuck
App 20150036259 - COX; Michael S. ;   et al.
2015-02-05
Substrate Support Ring For More Uniform Layer Thickness
App 20150020736 - Pan; Heng ;   et al.
2015-01-22
Apparatus for physical vapor deposition having centrally fed RF energy
Grant 8,795,488 - Rasheed , et al. August 5, 2
2014-08-05
Adjustable Process Spacing, Centering, And Improved Gas Conductance
App 20140166480 - HAWRYLCHAK; Lara ;   et al.
2014-06-19
Apparatus And Methods For Backside Passivation
App 20140147990 - Hawrylchak; Lara ;   et al.
2014-05-29
Methods And Apparatus For Processing Substrates Using An Ion Shield
App 20140099795 - TOBIN; JEFFREY ;   et al.
2014-04-10
Process kit for RF physical vapor deposition
Grant 8,668,815 - Young , et al. March 11, 2
2014-03-11
Adjustable Process Spacing, Centering, And Improved Gas Conductance
App 20140061040 - HAWRYLCHAK; Lara ;   et al.
2014-03-06
Adjustable process spacing, centering, and improved gas conductance
Grant 8,580,092 - Hawrylchak , et al. November 12, 2
2013-11-12
Methods And Apparatus For Selective Oxidation Of A Substrate
App 20130210240 - TJANDRA; AGUS ;   et al.
2013-08-15
Encapsulated sputtering target
Grant 8,435,392 - Hawrylchak , et al. May 7, 2
2013-05-07
Process Kit For Rf Physical Vapor Deposition
App 20130087452 - Hawrylchak; Lara ;   et al.
2013-04-11
Method And Apparatus For Selective Nitridation Process
App 20130040444 - ROGERS; MATTHEW S. ;   et al.
2013-02-14
Methods And Apparatus For Controlling Power Distribution In Substrate Processing Systems
App 20130014894 - LAI; CANFENG ;   et al.
2013-01-17
Methods And Apparatus For Controlling Power Distribution In Substrate Processing Systems
App 20130017315 - LAI; CANFENG ;   et al.
2013-01-17
Process Kit For Rf Physical Vapor Deposition
App 20120205241 - Young; Donny ;   et al.
2012-08-16
Encapsulated Sputtering Target
App 20120138457 - HAWRYLCHAK; LARA ;   et al.
2012-06-07
Encapsulated sputtering target
Grant 8,133,368 - Hawrylchak , et al. March 13, 2
2012-03-13
Extended Life Deposition Ring
App 20120042825 - Hawrylchak; Lara
2012-02-23
Physical vapor deposition reactor with circularly symmetric RF feed and DC feed to the sputter target
Grant 8,070,925 - Hoffman , et al. December 6, 2
2011-12-06
Apparatus For Physical Vapor Deposition Having Centrally Fed Rf Energy
App 20110240464 - RASHEED; MUHAMMAD ;   et al.
2011-10-06
Physical Vapor Deposition With A Variable Capacitive Tuner and Feedback Circuit
App 20110209995 - Rasheed; Muhammad M. ;   et al.
2011-09-01
Adjustable Process Spacing, Centering, And Improved Gas Conductance
App 20110186426 - HAWRYLCHAK; LARA ;   et al.
2011-08-04
Process Kit For Rf Physical Vapor Deposition
App 20110036709 - Hawrylchak; Lara ;   et al.
2011-02-17
High Pressure Rf-dc Sputtering And Methods To Improve Film Uniformity And Step-coverage Of This Process
App 20100252417 - Allen; Adolph Miller ;   et al.
2010-10-07
Encapsulated Sputtering Target
App 20100108500 - HAWRYLCHAK; LARA ;   et al.
2010-05-06
Physical Vapor Deposition Reactor With Circularly Symmetric Rf Feed And Dc Feed To The Sputter Target
App 20100096261 - HOFFMAN; DANIEL J. ;   et al.
2010-04-22
Process Kit For Rf Physical Vapor Deposition
App 20090272647 - Young; Donny ;   et al.
2009-11-05

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed