loadpatents
name:-0.092496871948242
name:-0.078541040420532
name:-0.0037908554077148
Hammarlund; Per Patent Filings

Hammarlund; Per

Patent Applications and Registrations

Patent applications and USPTO patent grants for Hammarlund; Per.The latest application filed is for "programmable event driven yield mechanism which may activate other threads".

Company Profile
3.87.97
  • Hammarlund; Per - Hillsboro OR
  • Hammarlund; Per - US
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Programmable event driven yield mechanism which may activate other threads
Grant 10,877,910 - Wang , et al. December 29, 2
2020-12-29
Programmable event driven yield mechanism which may activate other threads
Grant 10,459,858 - Wang , et al. Oc
2019-10-29
Mechanism for instruction set based thread execution on a plurality of instruction sequencers
Grant 10,452,403 - Wang , et al. Oc
2019-10-22
Synchronization of interrupt processing to reduce power consumption
Grant 10,089,263 - Loh , et al. October 2, 2
2018-10-02
Mechanism for instruction set based thread execution of a plurality of instruction sequencers
Grant 9,990,206 - Wang , et al. June 5, 2
2018-06-05
Programmable event driven yield mechanism which may activate other threads
Grant 9,910,796 - Wang , et al. March 6, 2
2018-03-06
Programmable Event Driven Yield Mechanism Which May Activate Other Threads
App 20180060258 - WANG; HONG ;   et al.
2018-03-01
Hardware-assisted virtualization for implementing secure video output path
Grant 9,785,576 - Loh , et al. October 10, 2
2017-10-10
Compare and exchange operation using sleep-wakeup mechanism
Grant 9,733,937 - Saha , et al. August 15, 2
2017-08-15
Mechanism for instruction set based thread execution on a plurality of instruction sequencers
Grant 9,720,697 - Wang , et al. August 1, 2
2017-08-01
Programmable Event Driven Yield Mechanism Which May Activate Other Threads
App 20170206083 - WANG; HONG ;   et al.
2017-07-20
Synchronization Of Interrupt Processing To Reduce Power Consumption
App 20170161096 - LOH; THIAM WAH ;   et al.
2017-06-08
Single instruction for specifying a subset of registers to save prior to entering low-power mode, and for specifying a pointer to a function executed after exiting low-power mode
Grant 9,600,283 - Schuchman , et al. March 21, 2
2017-03-21
Instruction set architecture-based inter-sequencer communications with a heterogeneous resource
Grant 9,588,771 - Wang , et al. March 7, 2
2017-03-07
Mechanism For Instruction Set Based Thread Execution On A Plurality Of Instruction Sequencers
App 20170010895 - Wang; Hong ;   et al.
2017-01-12
Instruction set architecture-based inter-sequencer communications with a heterogeneous resource
Grant 9,459,874 - Wang , et al. October 4, 2
2016-10-04
METHOD AND APPARATUS FOR POWER OPTIMIZED IoT COMMUNICATION
App 20160095060 - Seddighrad; Parmoon ;   et al.
2016-03-31
Mechanism For Instruction Set Based Thread Execution On A Plurality Of Instruction Sequencers
App 20160019067 - Wang; Hong ;   et al.
2016-01-21
Methods And Apparatuses For Reducing Power Consumption Of Processor Switch Operations
App 20160004533 - Schuchman; Ethan ;   et al.
2016-01-07
Single instruction for specifying and saving a subset of registers, specifying a pointer to a work-monitoring function to be executed after waking, and entering a low-power mode
Grant 9,164,764 - Schuchman , et al. October 20, 2
2015-10-20
Hardware-assisted Virtualization For Implementing Secure Video Output Path
App 20150278119 - LOH; THIAM WAH ;   et al.
2015-10-01
Securing Shared Interconnect For Virtual Machine
App 20150277949 - LOH; THIAM WAH ;   et al.
2015-10-01
Instruction for enabling a processor wait state
Grant 9,032,232 - Dixon , et al. May 12, 2
2015-05-12
Instruction for enabling a processor wait state
Grant 8,990,597 - Dixon , et al. March 24, 2
2015-03-24
Inter-processor interrupts
Grant 8,984,199 - Hammarlund , et al. March 17, 2
2015-03-17
Instruction Set Architecture-Based Inter-Sequencer Communications With A Heterogeneous Resource
App 20150070368 - Wang; Hong ;   et al.
2015-03-12
Method, Apparatus, And System For Transactional Speculation Control Instructions
App 20150032998 - Rajwar; Ravi ;   et al.
2015-01-29
Instruction set architecture-based inter-sequencer communications with a heterogeneous resource
Grant 8,914,618 - Wang , et al. December 16, 2
2014-12-16
Programmable event driven yield mechanism which may activate other threads
Grant 8,868,887 - Wang , et al. October 21, 2
2014-10-21
Methods And Apparatuses For Reducing Power Consumption Of Processor Switch Operations
App 20140258757 - Schuchman; Ethan ;   et al.
2014-09-11
Technique to perform three-source operations
Grant 8,825,989 - Sodani , et al. September 2, 2
2014-09-02
Technique for using memory attributes
Grant 8,812,792 - Jacobson , et al. August 19, 2
2014-08-19
Programmable event-driven yield mechanism
Grant 8,762,694 - Zou , et al. June 24, 2
2014-06-24
Single instruction for specifying and saving a subset of registers, specifying a pointer to a work-monitoring function to be executed after waking, and entering a low-power mode
Grant 8,762,692 - Schuchman , et al. June 24, 2
2014-06-24
Mechanism for instruction set based thread execution on a plurality of instruction sequencers
Grant 8,719,819 - Wang , et al. May 6, 2
2014-05-06
Speculative multi-threading for instruction prefetch and/or trace pre-build
Grant 8,719,806 - Wang , et al. May 6, 2
2014-05-06
Technique To Perform Three-source Operations
App 20140052963 - Sodani; Avinash ;   et al.
2014-02-20
Technique For Using Memory Attributes
App 20140025901 - Jacobson; Quinn A. ;   et al.
2014-01-23
Compare and exchange operation using sleep-wakeup mechanism
Grant 8,607,241 - Saha , et al. December 10, 2
2013-12-10
Technique to perform three-source operations
Grant 8,589,663 - Sodani , et al. November 19, 2
2013-11-19
Technique for using memory attributes
Grant 8,560,781 - Jacobson , et al. October 15, 2
2013-10-15
Instruction For Enabling A Processor Wait State
App 20130246824 - Dixon; Martin G. ;   et al.
2013-09-19
Compare And Exchange Operation Using Sleep-wakeup Mechanism
App 20130232499 - Saha; Bratin ;   et al.
2013-09-05
Programmable Event Driven Yield Mechanism Which May Activate Other Threads
App 20130219096 - WANG; HONG ;   et al.
2013-08-22
Mechanism For Instruction Set Based Thread Execution Of A Plurality Of Instruction Sequencers
App 20130219399 - Wang; Hong ;   et al.
2013-08-22
Vector completion mask handling
Grant 8,510,536 - Jourdan , et al. August 13, 2
2013-08-13
Instruction Set Architecture-based Inter-sequencer Communications With A Heterogeneous Resource
App 20130205122 - WANG; Hong ;   et al.
2013-08-08
Instruction for enabling a processor wait state
Grant 8,464,035 - Dixon , et al. June 11, 2
2013-06-11
Primitives To Enhance Thread-level Speculation
App 20130073835 - Jacobson; Quinn A. ;   et al.
2013-03-21
Mechanism For Instruction Set Based Thread Execution On A Plurality Of Instruction Sequencers
App 20130054940 - Wang; Hong ;   et al.
2013-02-28
Method and apparatus for cost and power efficient, scalable operating system independent services
Grant 8,386,823 - Kumar , et al. February 26, 2
2013-02-26
Primitives to enhance thread-level speculation
Grant 8,332,619 - Jacobson , et al. December 11, 2
2012-12-11
Vector Completion Mask Handling
App 20120272046 - Jourdan; Stephan ;   et al.
2012-10-25
Vector completion mask handling
Grant 8,239,659 - Jourdan , et al. August 7, 2
2012-08-07
Method And Apparatus For Cost And Power Efficient, Scalable Operating System Independent Services
App 20120192000 - Kumar; Arvind ;   et al.
2012-07-26
Method and apparatus for cost and power efficient, scalable operating system independent services
Grant 8,171,321 - Kumar , et al. May 1, 2
2012-05-01
Primitives To Enhance Thread-level Speculation
App 20120084536 - Jacobson; Quinn A. ;   et al.
2012-04-05
Method and apparatus for affinity-guided speculative helper threads in chip multiprocessors
Grant 8,078,831 - Wang , et al. December 13, 2
2011-12-13
User-level privilege management
Grant 8,074,274 - Wang , et al. December 6, 2
2011-12-06
Method And Apparatus For Virtualized Microcode Sequencing
App 20110296096 - Zou; Xiang ;   et al.
2011-12-01
Technique For Using Memory Attributes
App 20110264866 - Jacobson; Quinn A. ;   et al.
2011-10-27
Technique for thread communication and synchronization
Grant 8,019,947 - Jacobson , et al. September 13, 2
2011-09-13
Technique for using memory attributes
Grant 7,991,965 - Jacobson , et al. August 2, 2
2011-08-02
Instruction For Enabling A Procesor Wait State
App 20110154079 - Dixon; Martin G. ;   et al.
2011-06-23
Primitives To Enhance Thread-level Speculation
App 20110087867 - Jacobson; Quinn A. ;   et al.
2011-04-14
Operation frame filtering, building, and execution
Grant 7,913,064 - Jourdan , et al. March 22, 2
2011-03-22
Method And Apparatus For Affinity-guided Speculative Helper Threads In Chip Multiprocessors
App 20110035555 - Wang; Hong ;   et al.
2011-02-10
Primitives to enhance thread-level speculation
Grant 7,882,339 - Jacobson , et al. February 1, 2
2011-02-01
Speculative Multi-threading For Instruction Prefetch And/or Trace Pre-build
App 20100332811 - Wang; Hong ;   et al.
2010-12-30
Programmable event driven yield mechanism which may activate service threads
Grant 7,849,465 - Zou , et al. December 7, 2
2010-12-07
Method and apparatus for affinity-guided speculative helper threads in chip multiprocessors
Grant 7,844,801 - Wang , et al. November 30, 2
2010-11-30
Method and apparatus for efficient resource utilization for prescient instruction prefetch
Grant 7,818,547 - Aamodt , et al. October 19, 2
2010-10-19
Speculative multi-threading for instruction prefetch and/or trace pre-build
Grant 7,814,469 - Wang , et al. October 12, 2
2010-10-12
Synchronizing recency information in an inclusive cache hierarchy
Grant 7,757,045 - Shannon , et al. July 13, 2
2010-07-13
Sequencer address management
Grant 7,743,233 - Wang , et al. June 22, 2
2010-06-22
System and method for storing immediate data
Grant 7,730,281 - Kyker , et al. June 1, 2
2010-06-01
Safe store for speculative helper threads
Grant 7,657,880 - Wang , et al. February 2, 2
2010-02-02
Queued locks using monitor-memory wait
Grant 7,640,384 - Hammarlund , et al. December 29, 2
2009-12-29
Resolving false dependencies of speculative load instructions
Grant 7,603,527 - Hily , et al. October 13, 2
2009-10-13
Mechanism to exploit synchronization overhead to improve multithreaded performance
Grant 7,587,584 - Enright , et al. September 8, 2
2009-09-08
Operation Frame Filtering, Building, And Execution
App 20090187712 - Jourdan; Stephan ;   et al.
2009-07-23
Multilevel scheme for dynamically and statically predicting instruction resource utilization to generate execution cluster partitions
Grant 7,562,206 - Sodani , et al. July 14, 2
2009-07-14
Method And Apparatus For Cost And Power Efficient, Scalable Operating System Independent Services
App 20090172438 - Kumar; Arvind ;   et al.
2009-07-02
Operation frame filtering, building, and execution
Grant 7,533,247 - Jourdan , et al. May 12, 2
2009-05-12
Method and apparatus for speculative execution of uncontended lock instructions
Grant 7,529,914 - Saha , et al. May 5, 2
2009-05-05
Methods and apparatus for generating speculative helper thread spawn-target points
Grant 7,523,465 - Aamodt , et al. April 21, 2
2009-04-21
Predicting contention in a processor
Grant 7,516,313 - Saha , et al. April 7, 2
2009-04-07
Methods and apparatuses for reducing power consumption of processor switch operations
App 20090089562 - Schuchman; Ethan ;   et al.
2009-04-02
Providing a backing store in user-level memory
Grant 7,500,049 - Dixon , et al. March 3, 2
2009-03-03
Programmable event driven yield mechanism which may activate other threads
Grant 7,487,502 - Wang , et al. February 3, 2
2009-02-03
Method and apparatus for microarchitecture partitioning of execution clusters
Grant 7,475,225 - Jourdan , et al. January 6, 2
2009-01-06
Load mechanism
Grant 7,457,932 - Hammarlund , et al. November 25, 2
2008-11-25
Staggered execution stack for vector processing
Grant 7,457,938 - Jourdan , et al. November 25, 2
2008-11-25
Method And Apparatus For Efficient Resource Utilization For Prescient Instruction Prefetch
App 20080215861 - Aamodt; Tor M. ;   et al.
2008-09-04
Method and apparatus for efficient utilization for prescient instruction prefetch
Grant 7,404,067 - Aamodt , et al. July 22, 2
2008-07-22
Flow optimization and prediction for VSSE memory operations
Grant 7,404,065 - Jourdan , et al. July 22, 2
2008-07-22
User-level privilege management
App 20080163366 - Chinya; Gautham ;   et al.
2008-07-03
System and method for storing immediate data
App 20080133894 - Kyker; Alan B. ;   et al.
2008-06-05
Vector completion mask handling
App 20080082785 - Jourdan; Stephan ;   et al.
2008-04-03
Resolving false dependencies of speculative load instructions
App 20080082765 - Hily; Sebastien ;   et al.
2008-04-03
Scheduling operations corresponding to store instructions
App 20080059753 - Hily; Sebastien ;   et al.
2008-03-06
Queued locks using monitor-memory wait
Grant 7,328,293 - Hammarlund , et al. February 5, 2
2008-02-05
Queued locks using monitor-memory wait
App 20080022141 - Hammarlund; Per ;   et al.
2008-01-24
System and method for storing immediate data
Grant 7,321,963 - Kyker , et al. January 22, 2
2008-01-22
Technique to perform three-source operations
App 20070300049 - Sodani; Avinash ;   et al.
2007-12-27
Vector length tracking mechanism
App 20070283129 - Jourdan; Stephan ;   et al.
2007-12-06
Adaptive prefetching
App 20070239940 - Doshi; Kshitij A. ;   et al.
2007-10-11
Synchronizing recency information in an inclusive cache hierarchy
App 20070214321 - Shannon; Christopher J. ;   et al.
2007-09-13
Technique for using memory attributes
App 20070186055 - Jacobson; Quinn A. ;   et al.
2007-08-09
Queued locks using monitor-memory wait
App 20070162774 - Hammarlund; Per ;   et al.
2007-07-12
Microarchitecture prediction of execution clusters and inter-cluster communications
App 20070157008 - Sodani; Avinash ;   et al.
2007-07-05
Operation frame filtering, building, and execution
App 20070157188 - Jourdan; Stephan ;   et al.
2007-07-05
Instruction set architecture-based inter-sequencer communications with a heterogeneous resource
App 20070157211 - Wang; Hong ;   et al.
2007-07-05
Load mechanism
App 20070156990 - Hammarlund; Per ;   et al.
2007-07-05
Method and apparatus for microarchitecture partitioning of execution clusters
App 20070157006 - Jourdan; Stephan J. ;   et al.
2007-07-05
Flow optimization and prediction for VSSE memory operations
App 20070143575 - Jourdan; Stephen ;   et al.
2007-06-21
Providing a backing store in user-level memory
App 20070101076 - Dixon; Martin ;   et al.
2007-05-03
Queued locks using monitor-memory wait
Grant 7,213,093 - Hammarlund , et al. May 1, 2
2007-05-01
Technique for thread communication and synchronization
App 20070088916 - Jacobson; Quinn A. ;   et al.
2007-04-19
Staggered execution stack for vector processing
App 20070079179 - Jourdan; Stephan ;   et al.
2007-04-05
Mechanism for instruction set based thread execution on a plurality of instruction sequencers
App 20070006231 - Wang; Hong ;   et al.
2007-01-04
Programmable event driven yield mechanism which may activate service threads
App 20060294347 - Zou; Xiang ;   et al.
2006-12-28
Primitives to enhance thread-level speculation
App 20060294326 - Jacobson; Quinn A. ;   et al.
2006-12-28
Method and apparatus selectively to advance a write pointer for a queue based on the indicated validity or invalidity of an instruction stored within the queue
Grant 7,149,883 - Hammarlund , et al. December 12, 2
2006-12-12
Sequencer address management
App 20060224858 - Wang; Hong ;   et al.
2006-10-05
System and method for storing immediate data
Grant 7,114,057 - Kyker , et al. September 26, 2
2006-09-26
Use of a context identifier in a cache memory
Grant 7,085,889 - Hammarlund , et al. August 1, 2
2006-08-01
Predicting contention in a processor
App 20060161738 - Saha; Bratin ;   et al.
2006-07-20
Method and apparatus for speculative execution of uncontended lock instructions
App 20060004998 - Saha; Bratin ;   et al.
2006-01-05
Compare and exchange operation using sleep-wakeup mechanism
App 20060005197 - Saha; Bratin ;   et al.
2006-01-05
Stopping replay tornadoes
Grant 6,952,764 - Sager , et al. October 4, 2
2005-10-04
Programmable event driven yield mechanism which may activate other threads
App 20050166039 - Wang, Hong ;   et al.
2005-07-28
Mechanism to exploit synchronization overhead to improve multithreaded performance
App 20050149697 - Enright, Natalie D. ;   et al.
2005-07-07
Stick and spoke replay with selectable delays
Grant 6,912,648 - Hammarlund , et al. June 28, 2
2005-06-28
Method and system for multiple branch paths in a microprocessor
App 20050071614 - Jourdan, Stephan ;   et al.
2005-03-31
Method and apparatus for efficient utilization for prescient instruction prefetch
App 20050055541 - Aamodt, Tor M. ;   et al.
2005-03-10
Method and apparatus for affinity-guided speculative helper threads in chip multiprocessors
App 20050027941 - Wang, Hong ;   et al.
2005-02-03
Queued locks using monitor-memory wait
App 20040267996 - Hammarlund, Per ;   et al.
2004-12-30
System and method for storing immediate data
App 20040225867 - Kyker, Alan B. ;   et al.
2004-11-11
Programmable event driven yield mechanism which may activate other threads
App 20040163083 - Wang, Hong ;   et al.
2004-08-19
Methods and apparatus for generating speculative helper thread spawn-target points
App 20040154019 - Aamodt, Tor M. ;   et al.
2004-08-05
Speculative multi-threading for instruction prefetch and/or trace pre-build
App 20040154011 - Wang, Hong ;   et al.
2004-08-05
Control-quasi-independent-points guided speculative multithreading
App 20040154010 - Marcuello, Pedro ;   et al.
2004-08-05
Safe store for speculative helper threads
App 20040154012 - Wang, Hong ;   et al.
2004-08-05
System and method for storing immediate data
Grant 6,711,669 - Kyker , et al. March 23, 2
2004-03-23
Access control of a resource shared between components
App 20040049491 - Hammarlund, Per ;   et al.
2004-03-11
Access control of a resource shared between components
Grant 6,662,173 - Hammarlund , et al. December 9, 2
2003-12-09
Use of a context identifier in a cache memory
App 20030182512 - Hammarlund, Per ;   et al.
2003-09-25
Stick and spoke replay
App 20030126406 - Hammarlund, Per ;   et al.
2003-07-03
Stopping replay tornadoes
App 20030126405 - Sager, David J. ;   et al.
2003-07-03
System and method for storing immediate data
App 20030101209 - Kyker, Alan B. ;   et al.
2003-05-29
System and method for storing immediate data
App 20020032851 - Kyker, Alan B. ;   et al.
2002-03-14

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed