loadpatents
name:-0.044678926467896
name:-0.062340974807739
name:-0.01108193397522
Golla; Robert T. Patent Filings

Golla; Robert T.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Golla; Robert T..The latest application filed is for "instruction error handling".

Company Profile
10.69.44
  • Golla; Robert T. - Austin TX
  • Golla; Robert T. - Round Rock TX US
  • Golla; Robert T. - Plano TX
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Instruction Error Handling
App 20220164254 - Smittle; Matthew B. ;   et al.
2022-05-26
Circuit for Fast Interrupt Handling
App 20220164220 - Golla; Robert T. ;   et al.
2022-05-26
Program Thread Selection Between a Plurality of Execution Pipelines
App 20220137973 - Golla; Robert T. ;   et al.
2022-05-05
Removal of Dependent Instructions from an Execution Pipeline
App 20220137976 - Golla; Robert T. ;   et al.
2022-05-05
Forced Debug Mode Entry
App 20220121557 - Golla; Robert T. ;   et al.
2022-04-21
Debug command execution using existing datapath circuitry
Grant 11,119,149 - Panwar , et al. September 14, 2
2021-09-14
Illegal instruction exception handling
Grant 11,086,631 - Golla , et al. August 10, 2
2021-08-10
Cache diagnostic techniques
Grant 11,023,342 - Barreh , et al. June 1, 2
2021-06-01
Multi-threaded instruction buffer design
Grant 10,860,326 - Barreh , et al. December 8, 2
2020-12-08
Debug Command Execution Using Existing Datapath Circuitry
App 20200174071 - Panwar; Deepak ;   et al.
2020-06-04
Illegal Instruction Exception Handling
App 20200174794 - Golla; Robert T. ;   et al.
2020-06-04
Cache Diagnostic Techniques
App 20200174903 - Barreh; Jama I. ;   et al.
2020-06-04
Multi-threaded Instruction Buffer Design
App 20200004549 - Barreh; Jama I. ;   et al.
2020-01-02
Multi-threaded instruction buffer design
Grant 10,346,173 - Barreh , et al. July 9, 2
2019-07-09
Adaptive microprocessor power ramp control
Grant 9,710,042 - Zhang , et al. July 18, 2
2017-07-18
System and method for out-of-order resource allocation and deallocation in a threaded machine
Grant 9,690,625 - Golla June 27, 2
2017-06-27
Precise excecution of versioned store instructions
Grant 9,672,298 - Radovic , et al. June 6, 2
2017-06-06
Mitigation of thread hogs on a threaded processor and prevention of allocation of resources to one or more instructions following a load miss
Grant 9,665,375 - Chou , et al. May 30, 2
2017-05-30
Single cycle data movement between general purpose and floating-point registers
Grant 9,304,767 - Olson , et al. April 5, 2
2016-04-05
Optimal deallocation of instructions from a unified pick queue
Grant 9,286,075 - Smittle , et al. March 15, 2
2016-03-15
Adaptive Microprocessor Power Ramp Control
App 20160048187 - Zhang; Haowei ;   et al.
2016-02-18
Dependency matrix for the determination of load dependencies
Grant 9,262,171 - Golla , et al. February 16, 2
2016-02-16
Precise Excecution Of Versioned Store Instructions
App 20150317338 - Radovic; Zoran ;   et al.
2015-11-05
System and method for balancing instruction loads between multiple execution units using assignment history
Grant 9,122,487 - Golla , et al. September 1, 2
2015-09-01
Unified high-frequency out-of-order pick queue with support for triggering early issue of speculative instructions
Grant 9,058,180 - Golla , et al. June 16, 2
2015-06-16
Perceptron-based branch prediction mechanism for predicting conditional branch instructions on a multithreaded processor
Grant 8,904,156 - Shah , et al. December 2, 2
2014-12-02
Processor and method for implementing instruction support for hash algorithms
Grant 8,832,464 - Olson , et al. September 9, 2
2014-09-09
Mechanism for selecting instructions for execution in a multithreaded processor
Grant 8,769,246 - Golla July 1, 2
2014-07-01
Mitigation Of Thread Hogs On A Threaded Processor Using A General Load/store Timeout Counter
App 20130297910 - Smolens; Jared C. ;   et al.
2013-11-07
Mitigation Of Thread Hogs On A Threaded Processor
App 20130290675 - Chou; Yuan C. ;   et al.
2013-10-31
Thread fairness on a multi-threaded processor with multi-cycle cryptographic operations
Grant 8,560,814 - Golla , et al. October 15, 2
2013-10-15
Processor operating mode for mitigating dependency conditions between instructions having different operand sizes
Grant 8,504,805 - Golla , et al. August 6, 2
2013-08-06
Accessing a multibank register file using a thread identifier
Grant 8,458,446 - Olson , et al. June 4, 2
2013-06-04
Processor and method for implementing instruction support for multiplication of large operands
Grant 8,438,208 - Olson , et al. May 7, 2
2013-05-07
Dynamic tag allocation in a multithreaded out-of-order processor
Grant 8,429,386 - Jordan , et al. April 23, 2
2013-04-23
Apparatus and method for local operand bypassing for cryptographic instructions
Grant 8,356,185 - Olson , et al. January 15, 2
2013-01-15
Dynamic mitigation of thread hogs on a threaded processor
Grant 8,347,309 - Smolens , et al. January 1, 2
2013-01-01
Dynamic allocation of resources in a threaded, heterogeneous processor
Grant 8,335,911 - Golla , et al. December 18, 2
2012-12-18
Logical map table for detecting dependency conditions between instructions having varying width operand values
Grant 8,335,912 - Golla , et al. December 18, 2
2012-12-18
System and method to manage address translation requests
Grant 8,301,865 - Grohoski , et al. October 30, 2
2012-10-30
Multi-threaded Instruction Buffer Design
App 20120233441 - Barreh; Jama I. ;   et al.
2012-09-13
Hybrid instruction buffer
Grant 8,225,034 - Golla , et al. July 17, 2
2012-07-17
Handling multi-cycle integer operations for a multi-threaded processor
Grant 8,195,919 - Olson , et al. June 5, 2
2012-06-05
Methods and mechanisms to support multiple features for a number of opcodes
Grant 8,195,923 - Spracklen , et al. June 5, 2
2012-06-05
Branch misprediction recovery mechanism for microprocessors
Grant 8,099,586 - Chou , et al. January 17, 2
2012-01-17
Method and system for sharing functional units of a multithreaded processor
Grant 8,095,778 - Golla January 10, 2
2012-01-10
Thread Fairness On A Multi-threaded Processor With Multi-cycle Cryptographic Operations
App 20110276783 - Golla; Robert T. ;   et al.
2011-11-10
Mechanism For Selecting Instructions For Execution In A Multithreaded Processor
App 20110138153 - Golla; Robert T.
2011-06-09
Method for selecting between divide instructions associated with respective threads in a multi-threaded processor
Grant 7,941,642 - Golla , et al. May 10, 2
2011-05-10
Perceptron-based Branch Prediction Mechanism For Predicting Conditional Branch Instructions On A Multithreaded Processor
App 20110087866 - Shah; Manish K. ;   et al.
2011-04-14
Apparatus And Method For Local Operand Bypassing For Cryptographic Instructions
App 20110087895 - Olson; Christopher H. ;   et al.
2011-04-14
Multiported Register File For Multithreaded Processors And Processors Employing Register Windows
App 20110078414 - Olson; Christopher H. ;   et al.
2011-03-31
Optimal Deallocation Of Instructions From A Unified Pick Queue
App 20110078697 - Smittle; Matthew B. ;   et al.
2011-03-31
Mechanism for selecting instructions for execution in a multithreaded processor
Grant 7,890,734 - Golla February 15, 2
2011-02-15
Dynamic Mitigation Of Thread Hogs On A Threaded Processor
App 20110029978 - Smolens; Jared C. ;   et al.
2011-02-03
Dependency Matrix For The Determination Of Load Dependencies
App 20100332806 - Golla; Robert T. ;   et al.
2010-12-30
System and Method to Manage Address Translation Requests
App 20100332787 - Grohoski; Gregory F. ;   et al.
2010-12-30
Dynamic Tag Allocation In A Multithreaded Out-of-order Processor
App 20100333098 - Jordan; Paul J. ;   et al.
2010-12-30
Unified High-frequency Out-of-order Pick Queue With Support For Speculative Instructions
App 20100332804 - Golla; Robert T. ;   et al.
2010-12-30
Delay slot handling in a processor
Grant 7,861,063 - Golla , et al. December 28, 2
2010-12-28
Processor And Method For Implementing Instruction Support For Multiplication Of Large Operands
App 20100325188 - Olson; Christopher H. ;   et al.
2010-12-23
System and Method for Balancing Instruction Loads Between Multiple Execution Units Using Assignment History
App 20100325394 - Golla; Robert T. ;   et al.
2010-12-23
System and Method for Out-of-Order Resource Allocation and Deallocation in a Threaded Machine
App 20100318998 - Golla; Robert T.
2010-12-16
Single Cycle Data Movement Between General Purpose And Floating-point Registers
App 20100306510 - Olson; Christopher ;   et al.
2010-12-02
Dynamic Allocation Of Resources In A Threaded, Heterogeneous Processor
App 20100299499 - Golla; Robert T. ;   et al.
2010-11-25
Physically-indexed Logical Map Table
App 20100274961 - Golla; Robert T. ;   et al.
2010-10-28
Processor Operating Mode For Mitigating Dependency Conditions
App 20100274994 - Golla; Robert T. ;   et al.
2010-10-28
Logical Map Table For Detecting Dependency Conditions
App 20100274993 - Golla; Robert T. ;   et al.
2010-10-28
Methods And Mechanisms To Support Multiple Features For A Number Of Opcodes
App 20100257338 - Spracklen; Lawrence A. ;   et al.
2010-10-07
Processor And Method For Implementing Instruction Support For Hash Algorithms
App 20100250966 - Olson; Christopher H. ;   et al.
2010-09-30
Memory with write port configured for double pump write
Grant 7,778,105 - Golla , et al. August 17, 2
2010-08-17
Branch Misprediction Recovery Mechanism For Microprocessors
App 20100169611 - Chou; Yuan C. ;   et al.
2010-07-01
Register access protocol in a multihreaded multi-core processor
Grant 7,747,771 - Shah , et al. June 29, 2
2010-06-29
Memory With Write Port Configured For Double Pump Write
App 20090231935 - Golla; Robert T. ;   et al.
2009-09-17
Multithreaded processor including a functional unit shared between multiple requestors and arbitration therefor
Grant 7,533,248 - Golla , et al. May 12, 2
2009-05-12
Thread-based clock enabling in a multi-threaded processor
Grant 7,523,330 - Golla , et al. April 21, 2
2009-04-21
Efficient utilization of a store buffer using counters
Grant 7,519,796 - Golla , et al. April 14, 2
2009-04-14
Handling cache misses by selectively flushing the pipeline
Grant 7,509,484 - Golla , et al. March 24, 2
2009-03-24
Apparatus and method to support pipelining of differing-latency instructions in a multithreaded processor
Grant 7,478,225 - Brooks , et al. January 13, 2
2009-01-13
Arbitration of window swap operations
Grant 7,426,630 - Chong , et al. September 16, 2
2008-09-16
Apparatus and method for fine-grained multithreading in a multipipelined processor core
Grant 7,401,206 - Hetherington , et al. July 15, 2
2008-07-15
Concurrent bypass to instruction buffers in a fine grain multithreaded processor
Grant 7,383,403 - Barreh , et al. June 3, 2
2008-06-03
Software accessible fast VA to PA translation
Grant 7,350,053 - Sugumar , et al. March 25, 2
2008-03-25
Minimal address state in a fine grain multithreaded processor
Grant 7,343,474 - Jordan , et al. March 11, 2
2008-03-11
Method and apparatus for power throttling in a multi-thread processor
Grant 7,330,988 - Golla , et al. February 12, 2
2008-02-12
Register window management using first pipeline to change current window and second pipeline to read operand from old window and write operand to new window
Grant 7,216,216 - Olson , et al. May 8, 2
2007-05-08
Fetch speculation in a multithreaded processor
Grant 7,185,178 - Barreh , et al. February 27, 2
2007-02-27
Method and appratus for power throttling in a multi-thread processor
App 20060020831 - Golla; Robert T. ;   et al.
2006-01-26
Apparatus and method for fine-grained multithreading in a multipipelined processor core
App 20060004995 - Hetherington; Ricky C. ;   et al.
2006-01-05
Mechanism for selecting instructions for execution in a multithreaded processor
App 20060004989 - Golla; Robert T.
2006-01-05
Thread-based clock enabling in a multi-threaded processor
App 20060005051 - Golla; Robert T. ;   et al.
2006-01-05
High-speed flip-flop circuitry and method for operating the same
App 20040150449 - Durham, Christopher M. ;   et al.
2004-08-05
Method and system for minimizing branch misprediction penalties within a processor
Grant 5,634,103 - Dietz , et al. May 27, 1
1997-05-27
Method and system for recoding noneffective instructions within a data processing system
Grant 5,619,408 - Black , et al. April 8, 1
1997-04-08

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed