loadpatents
name:-0.35338306427002
name:-0.15705704689026
name:-0.070823907852173
GODET; Ludovic Patent Filings

GODET; Ludovic

Patent Applications and Registrations

Patent applications and USPTO patent grants for GODET; Ludovic.The latest application filed is for "stacked metalens surfaces for 3d sensors".

Company Profile
80.195.200
  • GODET; Ludovic - Sunnyvale CA
  • Godet; Ludovic - Boston MA
  • Godet; Ludovic - North Reading MA US
  • Godet; Ludovic - Treize Vents FR
  • Godet; Ludovic - Wakefield MA
  • Godet; Ludovic - Beverly MA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Method To Fabricate Large Scale Flat Optics Lenses
App 20220308460 - XU; Yongan ;   et al.
2022-09-29
Ion Implantation To Modify Glass Locally For Optical Devices
App 20220307127 - PI; Nai-Wen ;   et al.
2022-09-29
Methods To Dice Optical Devices With Optimization Of Laser Pulse Spatial Distribution
App 20220305588 - LEI; Wei-Sheng ;   et al.
2022-09-29
STACKED METALENS SURFACES FOR 3D SENSORs
App 20220308263 - FU; Jinxin ;   et al.
2022-09-29
Airgap Structures For Improved Eyepiece Efficiency
App 20220299677 - CHEN; Erica ;   et al.
2022-09-22
Method To Measure Light Loss Of Optical Films And Optical Substrates
App 20220291083 - FU; Jinxin ;   et al.
2022-09-15
Titanium Oxide Optical Device Films Deposited By Physical Vapor Deposition
App 20220290290 - OHNO; Kenichi ;   et al.
2022-09-15
Method Of Measuring Efficiency For Optical Devices
App 20220291082 - FU; Jinxin ;   et al.
2022-09-15
Method and apparatus for deposition of multilayer device with superconductive film
Grant 11,437,559 - Zhu , et al. September 6, 2
2022-09-06
Fabrication Of Diffraction Gratings
App 20220276498 - GODET; Ludovic ;   et al.
2022-09-01
Methods of optical device fabrication using an electron beam apparatus
Grant 11,430,634 - Godet , et al. August 30, 2
2022-08-30
Optical Device Film With Tunable Refractive Index
App 20220260766 - OHNO; Kenichi ;   et al.
2022-08-18
High Index Edge Blackening Material
App 20220221723 - GAO; Yige ;   et al.
2022-07-14
Edge Blackening For Optical Devices
App 20220212223 - WANG; Kangkang ;   et al.
2022-07-07
Interference In-sensitive Littrow System For Optical Device Structure Measurement
App 20220214163 - SUN; Yangyang ;   et al.
2022-07-07
Depth-modulated slanted gratings using gray-tone lithography and slant etch
Grant 11,372,149 - Meyer Timmerman Thijssen , et al. June 28, 2
2022-06-28
Lithography Method To Form Structures With Slanted Angle
App 20220171283 - XU; Yongan ;   et al.
2022-06-02
Minimal Contact Gripping Of Thin Optical Devices
App 20220161396 - AHAMED; Yaseer Arafath ;   et al.
2022-05-26
Optical Device Metrology Systems And Related Methods
App 20220163382 - FU; Jinxin ;   et al.
2022-05-26
Illumination System For Ar Metrology Tool
App 20220163423 - SUN; Yangyang ;   et al.
2022-05-26
Optical Resolution Measurement Method For Optical Devices
App 20220164972 - FU; Jinxin ;   et al.
2022-05-26
Optical Device Having Structural And Refractive Index Gradation, And Method Of Fabricating The Same
App 20220152724 - LUO; Kang ;   et al.
2022-05-19
Imprint Compositions With Passivated Nanoparticles And Materials And Processes For Making The Same
App 20220155678 - JOSHI; Amita ;   et al.
2022-05-19
Fabrication of diffraction gratings
Grant 11,333,896 - Godet , et al. May 17, 2
2022-05-17
Mask Orientation
App 20220128745 - XU; Yongan ;   et al.
2022-04-28
See-through Metrology Systems, Apparatus, And Methods For Optical Devices
App 20220120700 - SUN; Yangyang ;   et al.
2022-04-21
In-line Metrology Systems, Apparatus, And Methods For Optical Devices
App 20220122240 - SUN; Yangyang ;   et al.
2022-04-21
In-line Metrology Systems, Apparatus, And Methods For Optical Devices
App 20220122241 - SUN; Yangyang ;   et al.
2022-04-21
See-through Metrology Systems, Apparatus, And Methods For Optical Devices
App 20220121030 - SUN; Yangyang ;   et al.
2022-04-21
Patterning Of Multi-depth Optical Devices
App 20220100084 - GODET; Ludovic ;   et al.
2022-03-31
Patterned chuck for double-sided processing
Grant 11,289,361 - Godet , et al. March 29, 2
2022-03-29
Fabrication Of Diffractive Optic Element Having A Variable Refractive Index Profile By Inkjet Printing Deposition
App 20220091314 - Luo; Kang ;   et al.
2022-03-24
Method Of Building A 3d Functional Optical Material Layer Stacking Structure
App 20220082738 - YOUNG; Michael Yu-tak ;   et al.
2022-03-17
Handling And Processing Double-sided Devices On Fragile Substrates
App 20220077794 - MCMILLAN; Wayne ;   et al.
2022-03-10
Post exposure processing apparatus
Grant 11,262,662 - Babayan , et al. March 1, 2
2022-03-01
Method And Apparatus For Stamp Generation And Curing
App 20220057710 - YOUNG; Michael Y. ;   et al.
2022-02-24
Method For Manufacturing Optical Device Structures
App 20220050241 - Colak; Levent ;   et al.
2022-02-17
Nano Imprint Stamps
App 20220035245 - MCMACKIN; Ian Matthew ;   et al.
2022-02-03
Methods To Fabricate 2d Wedge And Localized Encapsulation For Diffractive Optics
App 20220035251 - GUO; Jinrui ;   et al.
2022-02-03
System, software application, and method for lithography stitching
Grant 11,237,485 - Xu , et al. February 1, 2
2022-02-01
Method For Deposition Of Depth-varying Refractive Index Films
App 20220026603 - CEBALLOS; Andrew ;   et al.
2022-01-27
Doped Amorphous Optical Device Films And Deposition Via Incorporation Of Dopant Atoms
App 20220025518 - CEBALLOS; Andrew ;   et al.
2022-01-27
System and method for forming surface relief gratings
Grant 11,226,439 - Olson , et al. January 18, 2
2022-01-18
Mask orientation
Grant 11,226,440 - Xu , et al. January 18, 2
2022-01-18
Patterning of multi-depth optical devices
Grant 11,226,556 - Godet , et al. January 18, 2
2022-01-18
Patterned vacuum chuck for double-sided processing
Grant 11,222,809 - Yudovsky , et al. January 11, 2
2022-01-11
Method And Apparatus For Post Exposure Processing Of Photoresist Wafers
App 20220004104 - BABAYAN; Viachslav ;   et al.
2022-01-06
Thin-film Electro-optical Waveguide Modulator Device
App 20210405399 - TEO; Russell Chin Yee ;   et al.
2021-12-30
Methods And Apparatus Of Processing Transparent Substrates
App 20210395139 - XU; Yongan ;   et al.
2021-12-23
Handling and processing double-sided devices on fragile substrates
Grant 11,205,978 - McMillan , et al. December 21, 2
2021-12-21
Methods of forming devices on a substrate
Grant 11,193,198 - Olson , et al. December 7, 2
2021-12-07
Method of building a 3D functional optical material layer stacking structure
Grant 11,187,836 - Young , et al. November 30, 2
2021-11-30
Controlled hardmask shaping to create tapered slanted fins
Grant 11,171,010 - Godet , et al. November 9, 2
2021-11-09
Controlled Hardmask Shaping To Create Tapered Slanted Fins
App 20210305055 - GODET; Ludovic ;   et al.
2021-09-30
Methods of forming variable-depth device structures
Grant 11,112,694 - LaBonte , et al. September 7, 2
2021-09-07
Methods and apparatus of processing transparent substrates
Grant 11,111,176 - Xu , et al. September 7, 2
2021-09-07
Method and apparatus for post exposure processing of photoresist wafers
Grant 11,112,697 - Babayan , et al. September 7, 2
2021-09-07
Methods And Apparatus Of Processing Transparent Substrates
App 20210269355 - XU; Yongan ;   et al.
2021-09-02
Maskless Lithography Method To Fabricate Topographic Substrate
App 20210263410 - XU; Yongan ;   et al.
2021-08-26
System, Software Application, And Method For Lithography Stitching
App 20210223704 - XU; Yongan ;   et al.
2021-07-22
High Refractive Index Imprint Compositions And Materials And Processes For Making The Same
App 20210223686 - JOSHI; Amita ;   et al.
2021-07-22
Chemical delivery chamber for self-assembled monolayer processes
Grant 11,066,747 - Liang , et al. July 20, 2
2021-07-20
Mask Orientation
App 20210208317 - XU; Yongan ;   et al.
2021-07-08
Bake Devices For Handling And Uniform Baking Of Substrates
App 20210195695 - CERVERA; Hiram ;   et al.
2021-06-24
Transparent substrate with light blocking edge exclusion zone
Grant 11,043,437 - Young , et al. June 22, 2
2021-06-22
Atomic Layer Deposition On Optical Structures
App 20210180183 - GUO; Jinrui ;   et al.
2021-06-17
Methods and apparatus for waveguide metrology
Grant 11,029,206 - Fu , et al. June 8, 2
2021-06-08
High temperature vapor delivery system and method
Grant 10,954,594 - Babayan , et al. March 23, 2
2021-03-23
Method of imprinting tilt angle light gratings
Grant 10,955,606 - Young , et al. March 23, 2
2021-03-23
Method and system for three-dimensional (3D) structure fill
Grant 10,943,779 - Yieh , et al. March 9, 2
2021-03-09
Methods Of Optical Device Fabrication Using An Electron Beam Apparatus
App 20210066036 - GODET; Ludovic ;   et al.
2021-03-04
Optical component having depth modulated angled gratings and method of formation
Grant 10,935,799 - Meyer Timmerman Thijssen , et al. March 2, 2
2021-03-02
Extension of PVD chamber with multiple reaction gases, high bias power, and high power impulse source for deposition, implantation, and treatment
Grant 10,927,449 - Liu , et al. February 23, 2
2021-02-23
Methods for forming a metal silicide interconnection nanowire structure
Grant 10,930,472 - Mebarki , et al. February 23, 2
2021-02-23
Measurement system and grating pattern array
Grant 10,921,721 - Fu , et al. February 16, 2
2021-02-16
Post Exposure Processing Apparatus
App 20210026257 - BABAYAN; Viachslav ;   et al.
2021-01-28
Methods For Gapfill In High Aspect Ratio Structures
App 20210028055 - Manna; Pramit ;   et al.
2021-01-28
Wafer Treatment For Achieving Defect-free Self-assembled Monolayers
App 20200402792 - Ke; Chang ;   et al.
2020-12-24
Air-spaced Encapsulated Dielectric Nanopillars For Flat Optical Devices
App 20200400990 - GODET; Ludovic ;   et al.
2020-12-24
Imaging System And Method Of Manufacturing A Metalens Array
App 20200393599 - FU; Jinxin ;   et al.
2020-12-17
Photoresist Loading Solutions For Flat Optics Fabrication
App 20200386926 - DOSHAY; Sage Toko Garrett ;   et al.
2020-12-10
Apertures For Flat Optical Devices
App 20200386911 - DOSHAY; Sage Toko Garrett ;   et al.
2020-12-10
Imaging System And Method Of Creating Composite Images
App 20200388642 - FU; Jinxin ;   et al.
2020-12-10
Patterned Vacuum Chuck For Double-sided Processing
App 20200373188 - YUDOVSKY; Joseph ;   et al.
2020-11-26
Post exposure processing apparatus
Grant 10,845,715 - Babayan , et al. November 24, 2
2020-11-24
Methods Of Forming Variable-depth Device Structures
App 20200363719 - LABONTE; Andre P. ;   et al.
2020-11-19
Directional treatment for multi-dimensional device processing
Grant 10,825,665 - Godet , et al. November 3, 2
2020-11-03
Methods of optical device fabrication using an electron beam apparatus
Grant 10,818,472 - Godet , et al. October 27, 2
2020-10-27
Mehtod Of Thin Film Deposition In Trenches
App 20200332414 - GUO; Jinrui ;   et al.
2020-10-22
Methods for gapfill in high aspect ratio structures
Grant 10,811,303 - Manna , et al. October 20, 2
2020-10-20
Patterning Of Multi-depth Optical Devices
App 20200326621 - GODET; Ludovic ;   et al.
2020-10-15
Multi-depth Film For Optical Devices
App 20200325576 - ARMSTRONG; Karl J. ;   et al.
2020-10-15
Measurement system and a method of diffracting light
Grant 10,801,890 - Fu , et al. October 13, 2
2020-10-13
Measurement System And A Method Of Diffracting Light
App 20200309598 - FU; Jinxin ;   et al.
2020-10-01
Method and Apparatus for Deposition of Multilayer Device with Superconductive Film
App 20200303616 - Zhu; Mingwei ;   et al.
2020-09-24
Method And Apparatus For Deposition Of Metal Nitrides
App 20200299830 - Zhu; Mingwei ;   et al.
2020-09-24
System and method for detecting etch depth of angled surface relief gratings
Grant 10,775,158 - Olson , et al. Sept
2020-09-15
Gap Fill Of Imprinted Structure With Spin Coated High Refractive Index Material For Optical Components
App 20200284953 - Fu; Jinxin ;   et al.
2020-09-10
Multi Stack Optical Elements Using Temporary And Permanent Bonding
App 20200286778 - GODET; Ludovic ;   et al.
2020-09-10
Wafer treatment for achieving defect-free self-assembled monolayers
Grant 10,770,292 - Ke , et al. Sep
2020-09-08
Method And Apparatus For Stamp Generation And Curing
App 20200278605 - YOUNG; Michael Y. ;   et al.
2020-09-03
Dielectric Filled Nanostructured Silica Substrate For Flat Optical Devices
App 20200270746 - ROY; Tapashree ;   et al.
2020-08-27
Transparent Substrate With Light Blocking Edge Exclusion Zone
App 20200219819 - Young; Michael Yu-tak ;   et al.
2020-07-09
Multi stack optical elements using temporary and permanent bonding
Grant 10,707,118 - Godet , et al.
2020-07-07
Gap fill of imprinted structure with spin coated high refractive index material for optical components
Grant 10,705,268 - Fu , et al.
2020-07-07
Pvd Directional Deposition For Encapsulation
App 20200192108 - GODET; Ludovic ;   et al.
2020-06-18
Methods Of Forming Devices On A Substrate
App 20200190658 - OLSON; Joseph C. ;   et al.
2020-06-18
Methods Of Optical Device Fabrication Using An Ion Beam Source
App 20200192028 - GODET; Ludovic ;   et al.
2020-06-18
Method Of Forming Gratings
App 20200192010 - OLSON; Joseph C. ;   et al.
2020-06-18
Ion Beam Source For Optical Device Fabrication
App 20200194217 - GODET; Ludovic ;   et al.
2020-06-18
Backside Coating For Transparent Substrate
App 20200194319 - DOSHAY; Sage Toko Garrett ;   et al.
2020-06-18
Handling And Processing Double-sided Devices On Fragile Substrates
App 20200195172 - MCMILLAN; Wayne ;   et al.
2020-06-18
Electron Beam Apparatus For Optical Device Fabrication
App 20200192027 - RAMASWAMY; Kartik ;   et al.
2020-06-18
Methods Of Optical Device Fabrication Using An Electron Beam Apparatus
App 20200194218 - GODET; Ludovic ;   et al.
2020-06-18
System And Method For Detecting Etch Depth Of Angled Surface Relief Gratings
App 20200158495 - Olson; Joseph C. ;   et al.
2020-05-21
System And Method For Forming Surface Relief Gratings
App 20200150325 - Olson; Joseph C. ;   et al.
2020-05-14
Methods And Apparatus For Waveguide Metrology
App 20200141802 - FU; Jinxin ;   et al.
2020-05-07
Depth-modulated Slanted Gratings Using Gray-tone Lithography And Slant Etch
App 20200142120 - MEYER TIMMERMAN THIJSSEN; Rutger ;   et al.
2020-05-07
Controlled Hardmask Shaping To Create Tapered Slanted Fins
App 20200135482 - GODET; Ludovic ;   et al.
2020-04-30
High pressure annealing of metal gate structures
Grant 10,636,705 - Wang , et al.
2020-04-28
Optical Component Having Depth Modulated Angled Gratings And Method Of Formation
App 20200124865 - MEYER TIMMERMAN THIJSSEN; RUTGER ;   et al.
2020-04-23
Apparatus for field guided acid profile control in a photoresist layer
Grant 10,615,058 - Godet , et al.
2020-04-07
Laminate and core shell formation of silicide nanowire
Grant 10,593,592 - Mebarki , et al.
2020-03-17
Using Flowable Cvd To Gap Fill Micro/nano Structures For Optical Components
App 20200003937 - FU; Jinxin ;   et al.
2020-01-02
Fabrication Of Diffraction Gratings
App 20200004029 - GODET; Ludovic ;   et al.
2020-01-02
Gap Fill Of Imprinted Structure With Spin Coated High Refractive Index Material For Optical Components
App 20200003936 - FU; Jinxin ;   et al.
2020-01-02
Post Exposure Processing Apparatus
App 20190377272 - BABAYAN; Viachslav ;   et al.
2019-12-12
Method Of Imprinting Tilt Angle Light Gratings
App 20190369321 - YOUNG; Michael Yu-tak ;   et al.
2019-12-05
Method and apparatus for post exposure processing of photoresist wafers
Grant 10,474,033 - Babayan , et al. Nov
2019-11-12
Multi Stack Optical Elements Using Temporary And Permanent Bonding
App 20190318957 - GODET; Ludovic ;   et al.
2019-10-17
Integrated Cluster Tool For Selective Area Deposition
App 20190301009 - KAUFMAN-OSBORN; Tobin ;   et al.
2019-10-03
Method Of Building A 3d Functional Optical Material Layer Stacking Structure
App 20190278005 - YOUNG; Michael Yu-tak ;   et al.
2019-09-12
Post exposure processing apparatus
Grant 10,401,742 - Babayan , et al. Sep
2019-09-03
Patterned Vacuum Chuck For Double-sided Processing
App 20190259648 - YUDOVSKY; Joseph ;   et al.
2019-08-22
Modifying bulk properties of a glass substrate
Grant 10,377,665 - Olson , et al. A
2019-08-13
Integrated cluster tool for selective area deposition
Grant 10,358,715 - Kaufman-Osborn , et al.
2019-07-23
Method And Apparatus For Post Exposure Processing Of Photoresist Wafers
App 20190187563 - BABAYAN; Viachslav ;   et al.
2019-06-20
Porous Surface For Biomedical Devices
App 20190186046 - JEONG; Sangmin ;   et al.
2019-06-20
Methods For Forming A Metal Silicide Interconnection Nanowire Structure
App 20190172686 - MEBARKI; Bencherki ;   et al.
2019-06-06
Methods For Gapfill In High Aspect Ratio Structures
App 20190157134 - Manna; Pramit ;   et al.
2019-05-23
Patterned Chuck For Double-sided Processing
App 20190148208 - GODET; Ludovic ;   et al.
2019-05-16
Material deposition for high aspect ratio structures
Grant 10,276,369 - Xue , et al.
2019-04-30
Methods for forming a metal silicide interconnection nanowire structure
Grant 10,204,764 - Mebarki , et al. Feb
2019-02-12
Method and apparatus for post exposure processing of photoresist wafers
Grant 10,203,604 - Babayan , et al. Feb
2019-02-12
Methods for gapfill in high aspect ratio structures
Grant 10,192,775 - Manna , et al. Ja
2019-01-29
Porous surface for biomedical devices
Grant 10,167,574 - Jeong , et al. J
2019-01-01
Wafer Treatment For Achieving Defect-Free Self-Assembled Monolayers
App 20180366317 - Ke; Chang ;   et al.
2018-12-20
Selective Deposition Process Utilizing Polymer Structure Deactivation Process
App 20180366318 - OUYANG; Christine Y. ;   et al.
2018-12-20
Selective deposition process utilizing polymer structure deactivation process
Grant 10,157,740 - Ouyang , et al. Dec
2018-12-18
Controlling photo acid diffusion in lithography processes
Grant 10,108,093 - Xie , et al. October 23, 2
2018-10-23
Gapfill film modification for advanced CMP and recess flow
Grant 10,096,512 - Chen , et al. October 9, 2
2018-10-09
Pulsed plasma for film deposition
Grant 10,096,466 - Xue , et al. October 9, 2
2018-10-09
Field guided post exposure bake application for photoresist microbridge defects
Grant 10,048,589 - Godet , et al. August 14, 2
2018-08-14
Post Exposure Processing Apparatus
App 20180224754 - BABAYAN; Viachslav ;   et al.
2018-08-09
Extension Of Pvd Chamber With Multiple Reaction Gases, High Bias Power, And High Power Impulse Source For Deposition, Implantation, And Treatment
App 20180209035 - LIU; Jingjing ;   et al.
2018-07-26
Apparatus For Field Guided Acid Profile Control In A Photoresist Layer
App 20180190518 - GODET; Ludovic ;   et al.
2018-07-05
Methods And Apparatus For Selective Removal Of Self-assembled Monolayers Using Laser Annealing
App 20180171476 - GODET; Ludovic ;   et al.
2018-06-21
Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
Grant 9,996,006 - Ouyang , et al. June 12, 2
2018-06-12
Post exposure processing apparatus
Grant 9,964,863 - Babayan , et al. May 8, 2
2018-05-08
Resist Sensitivity And Profile Improvement Via Acid Anion Control During Field-guided Post Exposure Bake
App 20180107117 - OUYANG; Christine Y. ;   et al.
2018-04-19
Ion Implantation For Superconductor Tape Fabrication
App 20180105928 - Wang; Connie P. ;   et al.
2018-04-19
Plasma poisoning to enable selective deposition
Grant 9,947,539 - Godet , et al. April 17, 2
2018-04-17
Material Deposition For High Aspect Ratio Structures
App 20180102248 - XUE; Jun ;   et al.
2018-04-12
Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
Grant 9,927,709 - Ouyang , et al. March 27, 2
2018-03-27
Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
Grant 9,911,594 - Nemani , et al. March 6, 2
2018-03-06
Controlling Photo Acid Diffusion In Lithography Processes
App 20180052396 - XIE; Peng ;   et al.
2018-02-22
Field Guided Post Exposure Bake Application For Photoresist Microbridge Defects
App 20180046085 - GODET; Ludovic ;   et al.
2018-02-15
Laser annealing and electric field
Grant 9,864,276 - Ouyang , et al. January 9, 2
2018-01-09
Nanocrystalline diamond carbon film for 3D NAND hardmask application
Grant 9,865,464 - Chen , et al. January 9, 2
2018-01-09
Material deposition for high aspect ratio structures
Grant 9,852,902 - Xue , et al. December 26, 2
2017-12-26
Ion implantation for superconductor tape fabrication
Grant 9,850,569 - Wang , et al. December 26, 2
2017-12-26
Method And Apparatus For Post Exposure Processing Of Photoresist Wafers
App 20170363960 - BABAYAN; Viachslav ;   et al.
2017-12-21
Integrated Cluster Tool For Selective Area Deposition
App 20170350004 - KAUFMAN-OSBORN; Tobin ;   et al.
2017-12-07
Immersion field guided exposure and post-exposure bake process
Grant 9,829,790 - Buchberger, Jr. , et al. November 28, 2
2017-11-28
Field guided post exposure bake application for photoresist microbridge defects
Grant 9,823,570 - Godet , et al. November 21, 2
2017-11-21
Roll to roll wafer backside particle and contamination removal
Grant 9,815,091 - Ngai , et al. November 14, 2
2017-11-14
Plasma Poisoning To Enable Selective Deposition
App 20170323778 - Godet; Ludovic ;   et al.
2017-11-09
Chemical Delivery Chamber For Self-assembled Monolayer Processes
App 20170306491 - LIANG; Qiwei ;   et al.
2017-10-26
Controlling photo acid diffusion in lithography processes
Grant 9,798,240 - Xie , et al. October 24, 2
2017-10-24
Integrated Metrology And Process Tool To Enable Local Stress/overlay Correction
App 20170287752 - GODET; Ludovic ;   et al.
2017-10-05
Advanced process flow for high quality FCVD films
Grant 9,777,378 - Nemani , et al. October 3, 2
2017-10-03
3D material modification for advanced processing
Grant 9,773,675 - Godet , et al. September 26, 2
2017-09-26
Methods For Gapfill In High Aspect Ratio Structures
App 20170271196 - Manna; Pramit ;   et al.
2017-09-21
Method and system for modifying substrate relief features using ion implantation
Grant 9,767,987 - Godet , et al. September 19, 2
2017-09-19
Selective deposition utilizing masks and directional plasma treatment
Grant 9,754,791 - Godet , et al. September 5, 2
2017-09-05
Localized stress modulation for overlay and EPE
Grant 9,748,148 - Yieh , et al. August 29, 2
2017-08-29
Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer
Grant 9,733,579 - Nam , et al. August 15, 2
2017-08-15
Rtp Process For Directed Self-aligned Patterns
App 20170221701 - HUNTER; Aaron Muir ;   et al.
2017-08-03
Plasma poisoning to enable selective deposition
Grant 9,716,005 - Godet , et al. July 25, 2
2017-07-25
Atomic Layer Etching System With Remote Plasma Source And Dc Electrode
App 20170200587 - GODET; Ludovic ;   et al.
2017-07-13
Resist Sensitivity And Profile Improvement Via Acid Anion Control During Field-guided Post Exposure Bake
App 20170184976 - OUYANG; Christine Y. ;   et al.
2017-06-29
3d Material Modification For Advanced Processing
App 20170154776 - GODET; Ludovic ;   et al.
2017-06-01
Method And Apparatus For Post Exposure Processing Of Photoresist Wafers
App 20170154797 - Babayan; Viachslav ;   et al.
2017-06-01
Modifying Bulk Properties Of A Glass Substrate
App 20170144929 - Olson; Joseph C. ;   et al.
2017-05-25
Gapfill Film Modification For Advanced Cmp And Recess Flow
App 20170117157 - CHEN; Erica ;   et al.
2017-04-27
In situ control of ion angular distribution in a processing apparatus
Grant 9,620,335 - Biloiu , et al. April 11, 2
2017-04-11
3D material modification for advanced processing
Grant 9,620,407 - Godet , et al. April 11, 2
2017-04-11
Direct deposition of nickel silicide nanowire
Grant 9,613,859 - Lakshmanan , et al. April 4, 2
2017-04-04
High Temperature Vapor Delivery System And Method
App 20170088949 - BABAYAN; Viachslav ;   et al.
2017-03-30
Air gap formation in interconnection structure by implantation process
Grant 9,595,467 - Xue , et al. March 14, 2
2017-03-14
Method And System For Three-dimensional (3d) Structure Fill
App 20170069488 - Yieh; Ellie ;   et al.
2017-03-09
Nanocrystaline Diamond Carbon Film For 3d Nand Hardmask Application
App 20170062216 - CHEN; Yongmei ;   et al.
2017-03-02
Selective Atomic Layer Deposition Process Utilizing Patterned Self Assembled Monolayers For 3d Structure Semiconductor Applications
App 20170053797 - NEMANI; Srinivas D. ;   et al.
2017-02-23
Conversion process utilized for manufacturing advanced 3D features for semiconductor device applications
Grant 9,553,174 - Godet , et al. January 24, 2
2017-01-24
Plasma process chambers employing distribution grids having focusing surfaces thereon enabling angled fluxes to reach a substrate, and related methods
Grant 9,534,289 - Xue , et al. January 3, 2
2017-01-03
Techniques And Apparatus For Anisotropic Metal Etching
App 20160379844 - Omstead; Thomas R. ;   et al.
2016-12-29
Method and system for three-dimensional (3D) structure fill
Grant 9,530,674 - Yieh , et al. December 27, 2
2016-12-27
Plasma uniformity control by arrays of unit cell plasmas
Grant 9,528,185 - Nam , et al. December 27, 2
2016-12-27
Bias voltage frequency controlled angular ion distribution in plasma processing
Grant 9,520,267 - Godet , et al. December 13, 2
2016-12-13
Immersion Field Guided Exposure And Post-exposure Bake Process
App 20160357107 - BUCHBERGER, JR.; Douglas A. ;   et al.
2016-12-08
Multiple exposure treatment for processing a patterning feature
Grant 9,512,517 - Ma , et al. December 6, 2
2016-12-06
Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
Grant 9,515,166 - Nemani , et al. December 6, 2
2016-12-06
Guard aperture to control ion angular distribution in plasma processing
Grant 9,514,918 - Nam , et al. December 6, 2
2016-12-06
Nanocrystalline diamond carbon film for 3D NAND hardmask application
Grant 9,502,262 - Chen , et al. November 22, 2
2016-11-22
Anisotropic gap etch
Grant 9,502,258 - Xue , et al. November 22, 2
2016-11-22
Methods Of Affecting Material Properties And Applications Therefor
App 20160326636 - Godet; Ludovic ;   et al.
2016-11-10
Material modification by neutral beam source with selected collision angle
Grant 9,480,140 - Nam , et al. October 25, 2
2016-10-25
Laser Annealing And Electric Field
App 20160299435 - OUYANG; Christine Y. ;   et al.
2016-10-13
Field Guided Post Exposure Bake Application For Photoresist Microbridge Defects
App 20160291476 - GODET; Ludovic ;   et al.
2016-10-06
Techniques and apparatus for anisotropic metal etching
Grant 9,460,961 - Omstead , et al. October 4, 2
2016-10-04
Pulsed Plasma For Film Deposition
App 20160276150 - Xue; Jun ;   et al.
2016-09-22
Methods of affecting material properties and applications therefor
Grant 9,425,027 - Godet , et al. August 23, 2
2016-08-23
Selective Deposition Utilizing Masks And Directional Plasma Treatment
App 20160233100 - GODET; Ludovic ;   et al.
2016-08-11
Development of high etch selective hardmask material by ion implantation into amorphous carbon films
Grant 9,412,613 - Manna , et al. August 9, 2
2016-08-09
Multiple Exposure Treatment For Processing A Patterning Feature
App 20160215385 - Ma; Tristan Y. ;   et al.
2016-07-28
Techniques and apparatus for anisotropic metal etching
Grant 9,396,965 - Ma , et al. July 19, 2
2016-07-19
Laminate And Core Shell Formation Of Silicide Nanowire
App 20160204029 - MEBARKI; Bencherki ;   et al.
2016-07-14
Direct Deposition Of Nickel Silicide Nanowire
App 20160204027 - LAKSHMANAN; Annamalai ;   et al.
2016-07-14
Advanced Process Flow For High Quality Fcvd Films
App 20160194758 - NEMANI; Srinivas D. ;   et al.
2016-07-07
Method and apparatus for selective deposition
Grant 9,385,219 - Yieh , et al. July 5, 2
2016-07-05
Remote plasma source based cyclic CVD process for nanocrystalline diamond deposition
Grant 9,382,625 - Xue , et al. July 5, 2
2016-07-05
In Situ Control Of Ion Angular Distribution In A Processing Apparatus
App 20160189935 - Biloiu; Costel ;   et al.
2016-06-30
Electric/magnetic field guided acid diffusion
Grant 9,377,692 - Xie , et al. June 28, 2
2016-06-28
Method to reduce K value of dielectric layer for advanced FinFET formation
Grant 9,379,021 - Yieh , et al. June 28, 2
2016-06-28
Anisotropic Gap Etch
App 20160181112 - Xue; Jun ;   et al.
2016-06-23
Electric/magnetic field guided acid profile control in a photoresist layer
Grant 9,366,966 - Xie , et al. June 14, 2
2016-06-14
3d Material Modification For Advanced Processing
App 20160163546 - GODET; Ludovic ;   et al.
2016-06-09
Ion Implantation For Superconductor Tape Fabrication
App 20160160344 - Wang; Connie P. ;   et al.
2016-06-09
Material Modification By Neutral Beam Source With Selected Collision Angle
App 20160148713 - Nam; Sang Ki ;   et al.
2016-05-26
Air Gap Formation In Interconnection Structure By Implantation Process
App 20160141202 - XUE; Jun ;   et al.
2016-05-19
Method and system for modifying photoresist using electromagnetic radiation and ion implantation
Grant 9,340,877 - Godet , et al. May 17, 2
2016-05-17
Apparatus and method for dynamic control of ion beam energy and angle
Grant 9,336,998 - Godet , et al. May 10, 2
2016-05-10
Porous Surface For Biomedical Devices
App 20160121292 - JEONG; Sangmin ;   et al.
2016-05-05
Methods For Forming A Metal Silicide Interconnection Nanowire Structure
App 20160118260 - MEBARKI; Bencherki ;   et al.
2016-04-28
Tooling Configuration For Electric/magnetic Field Guided Acid Profile Control In A Photoresist Layer
App 20160109813 - NAM; Sang Ki ;   et al.
2016-04-21
Material Deposition For High Aspect Ratio Structures
App 20160099154 - Xue; Jun ;   et al.
2016-04-07
Guard Aperture To Control Ion Angular Distribution In Plasma Processing
App 20160093409 - NAM; Sang Ki ;   et al.
2016-03-31
In situ control of ion angular distribution in a processing apparatus
Grant 9,293,301 - Biloiu , et al. March 22, 2
2016-03-22
Flowable Film Properties Tuning Using Implantation
App 20160079034 - Yieh; Ellie Y. ;   et al.
2016-03-17
Apparatus and techniques for energetic neutral beam processing
Grant 9,288,889 - Radovanov , et al. March 15, 2
2016-03-15
Field guided exposure and post-exposure bake process
Grant 9,280,070 - Xie , et al. March 8, 2
2016-03-08
Nanocrystaline Diamond Carbon Film For 3d Nand Hardmask Application
App 20160064500 - CHEN; Yongmei ;   et al.
2016-03-03
Plasma Uniformity Control By Arrays Of Unit Cell Plasmas
App 20160053376 - NAM; Sang Ki ;   et al.
2016-02-25
Techniques for patterning a substrate
Grant 9,268,228 - Godet , et al. February 23, 2
2016-02-23
Techniques And Apparatus For Anisotropic Metal Etching
App 20160042922 - Omstead; Thomas R. ;   et al.
2016-02-11
Techniques And Apparatus For Anisotropic Metal Etching
App 20160042975 - MA; Tristan ;   et al.
2016-02-11
Neutral beam source with plasma sheath-shaping neutralization grid
Grant 9,253,868 - Nam , et al. February 2, 2
2016-02-02
Techniques for forming 3D structures
Grant 9,240,350 - Godet , et al. January 19, 2
2016-01-19
Controlling Photo Acid Diffusion In Lithography Processes
App 20160011518 - XIE; Peng ;   et al.
2016-01-14
Electric/magnetic Field Guided Acid Profile Control In A Photoresist Layer
App 20160011515 - XIE; Peng ;   et al.
2016-01-14
Field Guided Exposure And Post-exposure Bake Process
App 20160011526 - XIE; Peng ;   et al.
2016-01-14
Techniques to mitigate straggle damage to sensitive structures
Grant 9,236,257 - Hatem , et al. January 12, 2
2016-01-12
Method And Apparatus For Selective Deposition
App 20160005839 - YIEH; Ellie Y. ;   et al.
2016-01-07
Localized Stress Modulation For Overlay And Epe
App 20160005662 - YIEH; Ellie Y. ;   et al.
2016-01-07
Method and system for plasma-assisted ion beam processing
Grant 9,232,628 - Radovanov , et al. January 5, 2
2016-01-05
Methods For Forming Fin Structures With Desired Dimensions For 3d Structure Semiconductor Applications
App 20150380526 - GODET; Ludovic ;   et al.
2015-12-31
Plasma Process Chambers Employing Distribution Grids Having Focusing Surfaces Thereon Enabling Angled Fluxes To Reach A Substrate, And Related Methods
App 20150368801 - XUE; Jun ;   et al.
2015-12-24
Roll To Roll Wafer Backside Particle And Contamination Removal
App 20150371879 - NGAI; Christopher S. ;   et al.
2015-12-24
Bias Voltage Frequency Controlled Angular Ion Distribution In Plasma Processing
App 20150371827 - Godet; Ludovic ;   et al.
2015-12-24
Methods for silicon recess structures in a substrate by utilizing a doping layer
Grant 9,214,377 - Zhang , et al. December 15, 2
2015-12-15
Electric/magnetic Field Guided Acid Diffusion
App 20150355549 - XIE; Peng ;   et al.
2015-12-10
Technique for forming a FinFET device using selective ion implantation
Grant 9,190,498 - Brand , et al. November 17, 2
2015-11-17
Apparatus And Method For Dynamic Control Of Ion Beam Energy And Angle
App 20150325410 - Godet; Ludovic ;   et al.
2015-11-12
Directional Treatment For Multi-dimensional Device Processing
App 20150325411 - GODET; Ludovic ;   et al.
2015-11-12
Remote Plasma Source Based Cyclic Cvd Process For Nanocrystalline Diamond Deposition
App 20150315707 - XUE; Jun ;   et al.
2015-11-05
Selective Atomic Layer Deposition Process Utilizing Patterned Self Assembled Monolayers For 3d Structure Semiconductor Applications
App 20150294863 - NEMANI; Srinivas D. ;   et al.
2015-10-15
Conversion Process Utilized For Manufacturing Advanced 3d Features For Semiconductor Device Applications
App 20150279974 - GODET; Ludovic ;   et al.
2015-10-01
Three dimensional metal deposition technique
Grant 9,136,096 - Godet , et al. September 15, 2
2015-09-15
Grazing Angle Plasma Processing For Modifying A Substrate Surface
App 20150255243 - GODET; Ludovic ;   et al.
2015-09-10
Techniques for plasma processing a substrate
Grant 9,123,509 - Papasouliotis , et al. September 1, 2
2015-09-01
Techniques for treating sidewalls of patterned structures using angled ion treatment
Grant 9,118,001 - Godet , et al. August 25, 2
2015-08-25
Development Of High Etch Selective Hardmask Material By Ion Implantation Into Amorphous Carbon Films
App 20150194317 - MANNA; PRAMIT ;   et al.
2015-07-09
Techniques For Diamond Nucleation Control For Thin Film Processing
App 20150176116 - GODET; Ludovic ;   et al.
2015-06-25
In Situ Control Of Ion Angular Distribution In A Processing Apparatus
App 20150179409 - Biloiu; Costel ;   et al.
2015-06-25
Plasma processing of workpieces to form a coating
Grant 9,062,367 - Hatem , et al. June 23, 2
2015-06-23
Method And Apparatus For Film Deposition
App 20150136732 - TANG; Xianmin ;   et al.
2015-05-21
Techniques and apparatus for high rate hydrogen implantation and co-implantion
Grant 9,024,282 - Radovanov , et al. May 5, 2
2015-05-05
Method to generate molecular ions from ions with a smaller atomic mass
Grant 9,024,273 - Godet , et al. May 5, 2
2015-05-05
Methods For Silicon Recess Structures In A Substrate By Utilizing A Doping Layer
App 20150118822 - ZHANG; Ying ;   et al.
2015-04-30
Method To Reduce K Value Of Dielectric Layer For Advanced Finfet Formation
App 20150099360 - YIEH; Ellie Y. ;   et al.
2015-04-09
Method And System For Three-dimensional (3d) Structure Fill
App 20150093907 - YIEH; Ellie ;   et al.
2015-04-02
Method and system for modifying resist openings using multiple angled ions
Grant 8,974,683 - Godet , et al. March 10, 2
2015-03-10
Method for epitaxial layer overgrowth
Grant 8,969,181 - Godet , et al. March 3, 2
2015-03-03
Techniques for processing photoresist features using ions
Grant 8,952,344 - Sinclair , et al. February 10, 2
2015-02-10
Engineering of porous coatings formed by ion-assisted direct deposition
Grant 8,946,061 - Darby , et al. February 3, 2
2015-02-03
Apparatus and method for controllably implanting workpieces
Grant 8,937,004 - Renau , et al. January 20, 2
2015-01-20
Apparatus and method for maskless patterned implantation
Grant 8,907,307 - Leavitt , et al. December 9, 2
2014-12-09
Material engineering for high performance Li-ion battery electrodes
Grant 8,906,576 - Darby , et al. December 9, 2
2014-12-09
System and method for plasma control using boundary electrode
Grant 8,907,300 - Radovanov , et al. December 9, 2
2014-12-09
Method And System For Modifying Substrate Relief Features Using Ion Implantation
App 20140306127 - Godet; Ludovic ;   et al.
2014-10-16
Enhanced etch and deposition profile control using plasma sheath engineering
Grant 8,858,816 - Godet , et al. October 14, 2
2014-10-14
Carbon Film Hardmask Stress Reduction By Hydrogen Ion Implantation
App 20140273461 - LEE; Kwangduk Douglas ;   et al.
2014-09-18
Apparatus And Techniques For Energetic Neutral Beam Processing
App 20140272179 - Radovanov; Svetlana B. ;   et al.
2014-09-18
Techniques To Mitigate Straggle Damage To Sensitive Structures
App 20140273502 - Hatem; Christopher R. ;   et al.
2014-09-18
System And Method For Plasma Control Using Boundary Electrode
App 20140265853 - Radovanov; Svetlana B. ;   et al.
2014-09-18
Techniques For Processing Photoresist Features Using Ions
App 20140272728 - Sinclair; Frank ;   et al.
2014-09-18
Method of implanting a workpiece to improve growth of a compound semiconductor
Grant 8,835,287 - Godet , et al. September 16, 2
2014-09-16
Techniques And Apparatus For High Rate Hydrogen Implantation And Co-implantion
App 20140256121 - Radovanov; Svetlana B. ;   et al.
2014-09-11
Techniques For Patterning A Substrate
App 20140242523 - Godet; Ludovic ;   et al.
2014-08-28
Method of etching a workpiece
Grant 8,815,720 - Godet , et al. August 26, 2
2014-08-26
Method And System For Plasma-assisted Ion Beam Processing
App 20140234554 - Radovanov; Svetlana B. ;   et al.
2014-08-21
Method And System For Ion-assisted Processing
App 20140202633 - Godet; Ludovic ;   et al.
2014-07-24
Method and system for modifying substrate relief features using ion implantation
Grant 8,778,603 - Godet , et al. July 15, 2
2014-07-15
Ion-assisted direct growth of porous materials
Grant 8,778,465 - Godet , et al. July 15, 2
2014-07-15
Techniques For Forming 3D Structures
App 20140193963 - Godet; Ludovic ;   et al.
2014-07-10
Method of ionization
Grant 8,742,373 - Radovanov , et al. June 3, 2
2014-06-03
Method and system for ion-assisted processing
Grant 8,728,951 - Godet , et al. May 20, 2
2014-05-20
Apparatus and method for multiple slot ion implantation
Grant 8,716,682 - Renau , et al. May 6, 2
2014-05-06
Deposition of porous films for thermoelectric applications
Grant 8,697,549 - Lu , et al. April 15, 2
2014-04-15
Method and system for controlling critical dimension and roughness in resist features
Grant 8,698,109 - Godet , et al. April 15, 2
2014-04-15
Technique and apparatus for monitoring ion mass, energy, and angle in processing systems
Grant 8,698,107 - Godet , et al. April 15, 2
2014-04-15
Technique for processing a substrate having a non-planar surface
Grant 8,679,960 - Papasouliotis , et al. March 25, 2
2014-03-25
Technique For Forming A FinFET Device
App 20140080276 - Brand; Adam ;   et al.
2014-03-20
Plasma processing apparatus
Grant 8,664,098 - Godet , et al. March 4, 2
2014-03-04
Plasma attenuation for uniformity control
Grant 8,659,229 - Kurunczi , et al. February 25, 2
2014-02-25
Anisotropic Surface Energy Modulation By Ion Implantation
App 20140037858 - Ma; Tristan ;   et al.
2014-02-06
Method And System For Ion-assisted Processing
App 20140038393 - Godet; Ludovic ;   et al.
2014-02-06
Enhanced Etch And Deposition Profile Control Using Plasma Sheath Engineering
App 20140034611 - Godet; Ludovic ;   et al.
2014-02-06
Three Dimensional Metal Deposition Technique
App 20140027274 - Godet; Ludovic ;   et al.
2014-01-30
Techniques For Treating Sidewalls Of Patterned Structures Using Angled Ion Treatment
App 20140017817 - Godet; Ludovic ;   et al.
2014-01-16
Plasma processing apparatus
Grant 8,623,171 - Godet , et al. January 7, 2
2014-01-07
Enhanced etch and deposition profile control using plasma sheath engineering
Grant 8,603,591 - Godet , et al. December 10, 2
2013-12-10
Method for patterning a substrate using ion assisted selective depostion
Grant 8,592,230 - Ramappa , et al. November 26, 2
2013-11-26
Plasma Potential Modulated ION Implantation Apparatus
App 20130287963 - Radovanov; Svetlana B. ;   et al.
2013-10-31
Method and system for post-etch treatment of patterned substrate features
Grant 8,460,569 - Godet , et al. June 11, 2
2013-06-11
Apparatus and method for controllably implanting workpieces
Grant 8,461,030 - Renau , et al. June 11, 2
2013-06-11
Method and system for modifying photoresist using electromagnetic radiation and ion implantation
Grant 8,435,727 - Godet , et al. May 7, 2
2013-05-07
USJ techniques with helium-treated substrates
Grant 8,372,735 - Hatem , et al. February 12, 2
2013-02-12
Method and system for controlling critical dimension and roughness in resist features
Grant 8,354,655 - Godet , et al. January 15, 2
2013-01-15
Apparatus and method for three dimensional ion processing
Grant 8,288,741 - Miller , et al. October 16, 2
2012-10-16
N-type doping of zinc telluride
Grant 8,288,255 - Lu , et al. October 16, 2
2012-10-16
Workpiece patterning with plasma sheath modulation
Grant 8,187,979 - Ramappa , et al. May 29, 2
2012-05-29
Ion source
Grant 8,188,445 - Godet , et al. May 29, 2
2012-05-29
Method and system for modifying patterned photoresist using multi-step ion implantation
Grant 8,133,804 - Godet , et al. March 13, 2
2012-03-13
USJ techniques with helium-treated substrates
Grant 8,124,506 - Hatem , et al. February 28, 2
2012-02-28
Plasma processing apparatus
Grant 8,101,510 - Godet , et al. January 24, 2
2012-01-24
Particle beam assisted modification of thin film materials
Grant 8,003,498 - England , et al. August 23, 2
2011-08-23
Ion implantation with heavy halogenide compounds
Grant 7,927,986 - Godet , et al. April 19, 2
2011-04-19
Monitoring plasma ion implantation systems for fault detection and process control
Grant 7,878,145 - Fang , et al. February 1, 2
2011-02-01
Ion source
Grant 7,767,977 - Godet , et al. August 3, 2
2010-08-03
Profile adjustment in plasma ion implanter
Grant 7,687,787 - Godet , et al. March 30, 2
2010-03-30
Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
Grant 7,586,100 - Raj , et al. September 8, 2
2009-09-08
Technique for monitoring and controlling a plasma process
Grant 7,476,849 - Koo , et al. January 13, 2
2009-01-13
Technique for monitoring and controlling a plasma process
Grant 7,453,059 - Koo , et al. November 18, 2
2008-11-18

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed