loadpatents
name:-0.25380706787109
name:-0.24035811424255
name:-0.077850818634033
Gluschenkov; Oleg Patent Filings

Gluschenkov; Oleg

Patent Applications and Registrations

Patent applications and USPTO patent grants for Gluschenkov; Oleg.The latest application filed is for "sloped epitaxy buried contact".

Company Profile
71.200.200
  • Gluschenkov; Oleg - Tannersville NY
  • Gluschenkov; Oleg - Poughkeepsie NY
  • Gluschenkov; Oleg - Hopewell Junction NY
  • Gluschenkov; Oleg - Wappingers Falls NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Contact source/drain resistance
Grant 11,322,588 - Lie , et al. May 3, 2
2022-05-03
Large grain copper interconnect lines for MRAM
Grant 11,309,216 - Reznicek , et al. April 19, 2
2022-04-19
Sloped epitaxy buried contact
Grant 11,227,922 - Li , et al. January 18, 2
2022-01-18
Sloped Epitaxy Buried Contact
App 20210399098 - Li; Tao ;   et al.
2021-12-23
Multiple nanosecond laser pulse anneal processes and resultant semiconductor structure
Grant 11,201,061 - Dasgupta , et al. December 14, 2
2021-12-14
Analog Nonvolatile Memory Cells Using Dopant Activation
App 20210343358 - Wu; Heng ;   et al.
2021-11-04
Analog nonvolatile memory cells using dopant activation
Grant 11,145,380 - Wu , et al. October 12, 2
2021-10-12
Laser Anneal For Mram Encapsulation Enhancement
App 20210313511 - Rizzolo; Michael ;   et al.
2021-10-07
Hybrid gate stack integration for stacked vertical transport field-effect transistors
Grant 11,139,215 - Yamashita , et al. October 5, 2
2021-10-05
All-semiconductor Josephson Junction Device For Qubit Applications
App 20210296557 - HOLMES; STEVEN J. ;   et al.
2021-09-23
MRAM devices containing a harden gap fill dielectric material
Grant 11,114,606 - Reznicek , et al. September 7, 2
2021-09-07
All-semiconductor Josephson junction device for qubit applications
Grant 11,107,968 - Holmes , et al. August 31, 2
2021-08-31
Transistor and method of forming same
Grant 11,088,280 - Basker , et al. August 10, 2
2021-08-10
Large Grain Copper Interconnect Lines For Mram
App 20210233812 - Reznicek; Alexander ;   et al.
2021-07-29
Self-aligned gate and junction for VTFET
Grant 11,075,280 - Liu , et al. July 27, 2
2021-07-27
Soi FinFET fins with recessed fins and epitaxy in source drain region
Grant 11,069,809 - Reznicek , et al. July 20, 2
2021-07-20
Laser anneal for MRAM encapsulation enhancement
Grant 11,069,854 - Rizzolo , et al. July 20, 2
2021-07-20
EUV pattern transfer with ion implantation and reduced impact of resist residue
Grant 11,031,246 - Mignot , et al. June 8, 2
2021-06-08
Tunable adhesion of EUV photoresist on oxide surface
Grant 11,022,887 - Xu , et al. June 1, 2
2021-06-01
Low resistivity epitaxially formed contact region for nanosheet external resistance reduction
Grant 11,004,984 - Wu , et al. May 11, 2
2021-05-11
Stackable Symmetrical Operation Memory Bit Cell Structure With Bidirectional Selectors
App 20210118951 - Reznicek; Alexander ;   et al.
2021-04-22
Uniform Horizontal Spacer
App 20210119016 - Belyansky; Michael P. ;   et al.
2021-04-22
Contact Source/drain Resistance
App 20210111246 - Lie; Fee Li ;   et al.
2021-04-15
Hybrid gate stack integration for stacked vertical transport field-effect transistors
Grant 10,964,603 - Yamashita , et al. March 30, 2
2021-03-30
Low Resistivity Epitaxially Formed Contact Region For Nanosheet External Resistance Reduction
App 20210091230 - Wu; Heng ;   et al.
2021-03-25
Mram Devices Containing A Harden Gap Fill Dielectric Material
App 20210091302 - Reznicek; Alexander ;   et al.
2021-03-25
Uniform horizontal spacer
Grant 10,957,781 - Belyansky , et al. March 23, 2
2021-03-23
Low parasitic capacitance and resistance finFET device
Grant 10,930,780 - Gluschenkov , et al. February 23, 2
2021-02-23
Stackable symmetrical operation memory bit cell structure with bidirectional selectors
Grant 10,910,435 - Reznicek , et al. February 2, 2
2021-02-02
Extreme ultraviolet lithography for high volume manufacture of a semiconductor device
Grant 10,879,068 - Xu , et al. December 29, 2
2020-12-29
Bottom-up Curing Of Dielectric Films In Integrated Circuits
App 20200388488 - Sil; Devika ;   et al.
2020-12-10
Ion Implantation Assisted Curing For Flowable Porous Dielectrics
App 20200388531 - Sil; Devika ;   et al.
2020-12-10
Hybrid Gate Stack Integration For Stacked Vertical Transport Field-effect Transistors
App 20200365469 - Yamashita; Tenko ;   et al.
2020-11-19
Fast recrystallization of hafnium or zirconium based oxides in insulator-metal structures
Grant 10,833,150 - Frank , et al. November 10, 2
2020-11-10
Stress modulation of nFET and pFET fin structures
Grant 10,832,973 - Zhou , et al. November 10, 2
2020-11-10
Substantially defect free relaxed heterogeneous semiconductor fins on bulk substrates
Grant 10,833,192 - Basker , et al. November 10, 2
2020-11-10
Self-Aligned Gate and Junction for VTFET
App 20200335601 - Liu; Zuoguang ;   et al.
2020-10-22
Hybrid Gate Stack Integration For Stacked Vertical Transport Field-effect Transistors
App 20200328127 - Yamashita; Tenko ;   et al.
2020-10-15
High temperature ultra-fast annealed soft mask for semiconductor devices
Grant 10,804,106 - Ebrish , et al. October 13, 2
2020-10-13
Contact formation through low-tempearature epitaxial deposition in semiconductor devices
Grant 10,804,270 - Gluschenkov , et al. October 13, 2
2020-10-13
Stackable Symmetrical Operation Memory Bit Cell Structure With Bidirectional Selectors
App 20200312906 - Reznicek; Alexander ;   et al.
2020-10-01
Stacked Vertical Field-effect Transistors With Sacrificial Layer Patterning
App 20200303263 - Zhang; Chen ;   et al.
2020-09-24
Self aligned top extension formation for vertical transistors
Grant 10,784,371 - Gluschenkov , et al. Sept
2020-09-22
Low thermal budget top source and drain region formation for vertical transistors
Grant 10,777,464 - Reznicek , et al. Sept
2020-09-15
Stacked vertical field-effect transistors with sacrificial layer patterning
Grant 10,777,468 - Zhang , et al. Sept
2020-09-15
Stress Modulation Of Nfet And Pfet Fin Structures
App 20200266111 - Zhou; Huimei ;   et al.
2020-08-20
Euv Pattern Transfer With Ion Implantation And Reduced Impact Of Resist Residue
App 20200251338 - Kind Code
2020-08-06
Substantially defect free relaxed heterogeneous semiconductor fins on bulk substrates
Grant 10,734,518 - Basker , et al.
2020-08-04
Vertical transport field-effect transistor architecture
Grant 10,692,768 - Rubin , et al.
2020-06-23
Contact formation through low-temperature epitaxial deposition in semiconductor devices
Grant 10,692,868 - Gluschenkov , et al.
2020-06-23
Contact formation in semiconductor devices
Grant 10,685,961 - Gluschenkov , et al.
2020-06-16
Stress modulation of nFET and pFET fin structures
Grant 10,665,512 - Zhou , et al.
2020-05-26
EUV pattern transfer with ion implantation and reduced impact of resist residue
Grant 10,658,180 - Mignot , et al.
2020-05-19
Self aligned top extension formation for vertical transistors
Grant 10,651,308 - Gluschenkov , et al.
2020-05-12
Low thermal budget top source and drain region formation for vertical transistors
Grant 10,651,089 - Reznicek , et al.
2020-05-12
Euv Pattern Transfer With Ion Implantation And Reduced Impact Of Resist Residue
App 20200144061 - Mignot; Yann ;   et al.
2020-05-07
Semiconductor chip including integrated security circuit
Grant 10,643,006 - Cheng , et al.
2020-05-05
Stress Modulation Of Nfet And Pfet Fin Structures
App 20200126867 - Zhou; Huimei ;   et al.
2020-04-23
Laser Anneal For Mram Encapsulation Enhancement
App 20200119263 - Rizzolo; Michael ;   et al.
2020-04-16
Structure and method to form defect free high-mobility semiconductor fins on insulator
Grant 10,622,379 - Basker , et al.
2020-04-14
Contact formation in semiconductor devices
Grant 10,586,769 - Gluschenkov , et al.
2020-03-10
Tunable Adhesion Of Euv Photoresist On Oxide Surface
App 20200073246 - Xu; Yongan ;   et al.
2020-03-05
Low Thermal Budget Top Source And Drain Region Formation For Vertical Transistors
App 20200066594 - Reznicek; Alexander ;   et al.
2020-02-27
Uniform Horizontal Spacer
App 20200044054 - Belyansky; Michael P. ;   et al.
2020-02-06
Multiple nanosecond laser pulse anneal processes and resultant semiconductor structure
Grant 10,553,439 - Dasgupta , et al. Fe
2020-02-04
Tunable adhesion of EUV photoresist on oxide surface
Grant 10,551,742 - Xu , et al. Fe
2020-02-04
Fast Recrystallization Of Hafnium Or Zirconium Based Oxides In Insulator-metal Structures
App 20200020762 - Frank; Martin M. ;   et al.
2020-01-16
Method of forming vertical transistor having dual bottom spacers
Grant 10,529,828 - Gluschenkov , et al. J
2020-01-07
Self Aligned Top Extension Formation For Vertical Transistors
App 20190386137 - Gluschenkov; Oleg ;   et al.
2019-12-19
Multiple Nanosecond Laser Pulse Anneal Processes And Resultant Semiconductor Structure
App 20190385857 - DASGUPTA; Aritra ;   et al.
2019-12-19
CMOS VFET contacts with trench solid and liquid phase epitaxy
Grant 10,510,617 - Gluschenkov , et al. Dec
2019-12-17
Extreme Ultraviolet Lithography For High Volume Manufacture Of A Semiconductor Device
App 20190348281 - Xu; Yongan ;   et al.
2019-11-14
LOW PARASITIC CAPACITANCE AND RESISTANCE finFET DEVICE
App 20190305131 - Gluschenkov; Oleg ;   et al.
2019-10-03
Cmos Vfet Contacts With Trench Solid And Liquid Phase Epitaxy
App 20190279913 - GLUSCHENKOV; Oleg ;   et al.
2019-09-12
High Temperature Ultra-fast Annealed Soft Mask For Semiconductor Devices
App 20190259616 - Ebrish; Mona ;   et al.
2019-08-22
Reducing series resistance between source and/or drain regions and a channel region
Grant 10,388,789 - Ebrish , et al. A
2019-08-20
Low Thermal Budget Top Source And Drain Region Formation For Vertical Transistors
App 20190252260 - Reznicek; Alexander ;   et al.
2019-08-15
Low resistance source drain contact formation
Grant 10,381,442 - Gluschenkov , et al. A
2019-08-13
Low parasitic capacitance and resistance finFET device
Grant 10,374,088 - Gluschenkov , et al.
2019-08-06
High acceptor level doping in silicon germanium
Grant 10,361,306 - Ebrish , et al.
2019-07-23
Contact Formation In Semiconductor Devices
App 20190221565 - Gluschenkov; Oleg ;   et al.
2019-07-18
Contact formation in semiconductor devices
Grant 10,347,581 - Gluschenkov , et al. July 9, 2
2019-07-09
Tunable Adhesion Of Euv Photoresist On Oxide Surface
App 20190187565 - Xu; Yongan ;   et al.
2019-06-20
Low Resistance Source Drain Contact Formation with Trench Metastable Alloys and Laser Annealing
App 20190181012 - Gluschenkov; Oleg ;   et al.
2019-06-13
Reducing series resistance between source and/or drain regions and a channel region
Grant 10,319,855 - Ebrish , et al.
2019-06-11
Contact formation in semiconductor devices
Grant 10,319,722 - Gluschenkov , et al.
2019-06-11
Method Of Forming Vertical Transistor Having Dual Bottom Spacers
App 20190172928 - Gluschenkov; Oleg ;   et al.
2019-06-06
Gate top spacer for FinFET
Grant 10,297,614 - Basker , et al.
2019-05-21
Contact Formation In Semiconductor Devices
App 20190148299 - Gluschenkov; Oleg ;   et al.
2019-05-16
Contact Formation Through Low-tempearature Epitaxial Deposition In Semiconductor Devices
App 20190148377 - Gluschenkov; Oleg ;   et al.
2019-05-16
Substantially Defect Free Relaxed Heterogeneous Semiconductor Fins On Bulk Substrates
App 20190148549 - Basker; Veeraraghavan S. ;   et al.
2019-05-16
Substantially Defect Free Relaxed Heterogeneous Semiconductor Fins On Bulk Substrates
App 20190123199 - Basker; Veeraraghavan S. ;   et al.
2019-04-25
Vertical transistor top epitaxy source/drain and contact structure
Grant 10,269,652 - Gluschenkov , et al.
2019-04-23
Contact Formation Through Low-tempearature Epitaxial Deposition In Semiconductor Devices
App 20190115347 - Gluschenkov; Oleg ;   et al.
2019-04-18
Vertical transistor top epitaxy source/drain and contact structure
Grant 10,262,904 - Gluschenkov , et al.
2019-04-16
Low resistance source drain contact formation with trench metastable alloys and laser annealing
Grant 10,249,502 - Gluschenkov , et al.
2019-04-02
Reducing Series Resistance Between Source And/or Drain Regions And A Channel Region
App 20190097049 - Ebrish; Mona A. ;   et al.
2019-03-28
Reducing Series Resistance Between Source And/or Drain Regions And A Channel Region
App 20190097050 - Ebrish; Mona A. ;   et al.
2019-03-28
Method of forming vertical transistor having dual bottom spacers
Grant 10,236,360 - Gluschenkov , et al.
2019-03-19
Low resistance source/drain contacts for complementary metal oxide semiconductor (CMOS) devices
Grant 10,211,207 - Adusumilli , et al. Feb
2019-02-19
Substantially defect free relaxed heterogeneous semiconductor fins on bulk substrates
Grant 10,170,620 - Basker , et al. J
2019-01-01
Semiconductor Chip Including Integrated Security Circuit
App 20180365450 - CHENG; KANGGUO ;   et al.
2018-12-20
Low resistance source/drain contacts for complementary metal oxide semiconductor (CMOS) devices
Grant 10,141,308 - Adusumilli , et al. Nov
2018-11-27
Gate top spacer for finFET
Grant 10,134,763 - Basker , et al. November 20, 2
2018-11-20
Self Aligned Top Extension Formation For Vertical Transistors
App 20180331216 - Gluschenkov; Oleg ;   et al.
2018-11-15
Forming a contact for a semiconductor device
Grant 10,115,824 - Gluschenkov , et al. October 30, 2
2018-10-30
Etch-resistant spacer formation on gate structure
Grant 10,109,722 - Xie , et al. October 23, 2
2018-10-23
Contact Formation In Semiconductor Devices
App 20180277483 - Gluschenkov; Oleg ;   et al.
2018-09-27
Contact Formation In Semiconductor Devices
App 20180277541 - Gluschenkov; Oleg ;   et al.
2018-09-27
Vertical Transistor Top Epitaxy Source/drain And Contact Structure
App 20180277446 - Gluschenkov; Oleg ;   et al.
2018-09-27
Vertical Transistor Top Epitaxy Source/drain And Contact Structure
App 20180277445 - Gluschenkov; Oleg ;   et al.
2018-09-27
Self aligned top extension formation for vertical transistors
Grant 10,079,299 - Gluschenkov , et al. September 18, 2
2018-09-18
Low Resistance Source/drain Contacts For Complementary Metal Oxide Semiconductor (cmos) Devices
App 20180261597 - Adusumilli; Praneet ;   et al.
2018-09-13
Low Resistance Source/drain Contacts For Complementary Metal Oxide Semiconductor (cmos) Devices
App 20180261598 - Adusumilli; Praneet ;   et al.
2018-09-13
Etch-resistant Spacer Formation On Gate Structure
App 20180254331 - Xie; Ruilong ;   et al.
2018-09-06
Silicon germanium fins on insulator formed by lateral recrystallization
Grant 10,068,920 - Reznicek , et al. September 4, 2
2018-09-04
Gallium Implantation Cleaning Method
App 20180247800 - Gluschenkov; Oleg ;   et al.
2018-08-30
Gallium Implantation Cleaning Method
App 20180247801 - Gluschenkov; Oleg ;   et al.
2018-08-30
Low Resistance Source Drain Contact Formation
App 20180240875 - Gluschenkov; Oleg ;   et al.
2018-08-23
Structure And Method To Form Defect Free High-mobility Semiconductor Fins On Insulator
App 20180219026 - Basker; Veeraraghavan S. ;   et al.
2018-08-02
Silicon germanium heterojunction bipolar transistor structure and method
Grant 10,032,883 - Gluschenkov , et al. July 24, 2
2018-07-24
Method Of Forming Vertical Transistor Having Dual Bottom Spacers
App 20180190794 - GLUSCHENKOV; OLEG ;   et al.
2018-07-05
Soi Finfet Fins With Recessed Fins And Epitaxy In Source Drain Region
App 20180175197 - REZNICEK; Alexander ;   et al.
2018-06-21
Gate stack formed with interrupted deposition processes and laser annealing
Grant 9,997,610 - Ando , et al. June 12, 2
2018-06-12
Gate stack formed with interrupted deposition processes and laser annealing
Grant 9,997,361 - Ando , et al. June 12, 2
2018-06-12
Voidless contact metal structures
Grant 9,997,407 - Basker , et al. June 12, 2
2018-06-12
Wafer stress control and topography compensation
Grant 9,997,348 - Brunner , et al. June 12, 2
2018-06-12
Fin field effect transistor structure and method to form defect free merged source and drain epitaxy for low external resistance
Grant 9,985,114 - Basker , et al. May 29, 2
2018-05-29
Gate Top Spacer For Finfet
App 20180145092 - Basker; Veeraraghavan S. ;   et al.
2018-05-24
Low resistance source/drain contacts for complementary metal oxide semiconductor (CMOS) devices
Grant 9,978,750 - Adusumilli , et al. May 22, 2
2018-05-22
Low resistance source drain contact formation
Grant 9,972,682 - Gluschenkov , et al. May 15, 2
2018-05-15
Forming A Contact For A Semiconductor Device
App 20180114861 - Gluschenkov; Oleg ;   et al.
2018-04-26
Bottom Spacer Formation For Vertical Transistor
App 20180114860 - Gluschenkov; Oleg ;   et al.
2018-04-26
Self Aligned Top Extension Formation For Vertical Transistors
App 20180114859 - Gluschenkov; Oleg ;   et al.
2018-04-26
Bottom spacer formation for vertical transistor
Grant 9,954,103 - Gluschenkov , et al. April 24, 2
2018-04-24
Structure and method to form defect free high-mobility semiconductor fins on insulator
Grant 9,941,302 - Basker , et al. April 10, 2
2018-04-10
Method of forming vertical transistor having dual bottom spacers
Grant 9,941,391 - Gluschenkov , et al. April 10, 2
2018-04-10
Transistor And Method Of Forming Same
App 20180097112 - Basker; Veeraraghavan S. ;   et al.
2018-04-05
Multiple Nanosecond Laser Pulse Anneal Processes And Resultant Semiconductor Structure
App 20180090328 - DASGUPTA; Aritra ;   et al.
2018-03-29
Wafer Stress Control And Topography Compensation
App 20180090307 - Brunner; Timothy A. ;   et al.
2018-03-29
Forming a contact for a semiconductor device
Grant 9,917,060 - Gluschenkov , et al. March 13, 2
2018-03-13
Transistor and method of forming same
Grant 9,911,849 - Basker , et al. March 6, 2
2018-03-06
SOI FinFET fins with recessed fins and epitaxy in source drain region
Grant 9,905,692 - Reznicek , et al. February 27, 2
2018-02-27
Gate Top Spacer For Finfet
App 20180047754 - Basker; Veeraraghavan S. ;   et al.
2018-02-15
Method Of Forming Vertical Transistor Having Dual Bottom Spacers
App 20180047828 - GLUSCHENKOV; OLEG ;   et al.
2018-02-15
High Acceptor Level Doping In Silicon Germanium
App 20180026128 - Ebrish; Mona Abdulkhaleg ;   et al.
2018-01-25
Multiple nanosecond laser pulse anneal processes and resultant semiconductor structure
Grant 9,859,121 - Dasgupta , et al. January 2, 2
2018-01-02
Voidless contact metal structures
Grant 9,859,216 - Basker , et al. January 2, 2
2018-01-02
Soi Finfet Fins With Recessed Fins And Epitaxy In Source Drain Region
App 20170338345 - REZNICEK; Alexander ;   et al.
2017-11-23
Substantially Defect Free Relaxed Heterogeneous Semiconductor Fins On Bulk Substrates
App 20170338344 - Basker; Veeraraghavan S. ;   et al.
2017-11-23
Structure And Method To Form Defect Free High-mobility Semiconductor Fins On Insulator
App 20170309647 - Basker; Veeraraghavan S. ;   et al.
2017-10-26
Localized elastic strain relaxed buffer
Grant 9,799,513 - Basker , et al. October 24, 2
2017-10-24
High acceptor level doping in silicon germanium
Grant 9,799,736 - Ebrish , et al. October 24, 2
2017-10-24
Silicon Germanium Fins On Insulator Formed By Lateral Recrystallization
App 20170301697 - Reznicek; Alexander ;   et al.
2017-10-19
Bottom spacer formation for vertical transistor
Grant 9,773,901 - Gluschenkov , et al. September 26, 2
2017-09-26
Vertical transistor bottom spacer formation
Grant 9,748,359 - Gluschenkov , et al. August 29, 2
2017-08-29
Self aligned top extension formation for vertical transistors
Grant 9,748,382 - Gluschenkov , et al. August 29, 2
2017-08-29
Integrated Circuit Having Improved Electromigration Performance And Method Of Forming Same
App 20170236780 - Nag; Joyeeta ;   et al.
2017-08-17
Low Resistance Source Drain Contact Formation
App 20170213889 - Gluschenkov; Oleg ;   et al.
2017-07-27
Low Resistance Source Drain Contact Formation with Trench Metastable Alloys and Laser Annealing
App 20170213739 - Gluschenkov; Oleg ;   et al.
2017-07-27
Semiconductor wafer probing system including pressure sensing and control unit
Grant 9,702,930 - Edwards , et al. July 11, 2
2017-07-11
Localized Elastic Strain Relaxed Buffer
App 20170170014 - Basker; Veeraraghavan S. ;   et al.
2017-06-15
Voidless Contact Metal Structures
App 20170170064 - Basker; Veeraraghavan S. ;   et al.
2017-06-15
Voidless Contact Metal Structures
App 20170170119 - Basker; Veeraraghavan S. ;   et al.
2017-06-15
Integrated circuit having improved electromigration performance and method of forming same
Grant 9,679,810 - Nag , et al. June 13, 2
2017-06-13
Fin Field Effect Transistor Structure And Method To Form Defect Free Merged Source And Drain Epitaxy For Low External Resistance
App 20170162671 - Basker; Veeraraghavan S. ;   et al.
2017-06-08
Transistor And Method Of Forming Same
App 20170162694 - Basker; Veeraraghavan S. ;   et al.
2017-06-08
Gate Stack Formed With Interrupted Deposition Processes And Laser Annealing
App 20170140940 - ANDO; Takashi ;   et al.
2017-05-18
Gate Stack Formed With Interrupted Deposition Processes And Laser Annealing
App 20170133477 - ANDO; Takashi ;   et al.
2017-05-11
Laser anneal of buried metallic interconnects including through silicon vias
Grant 9,620,396 - Gluschenkov , et al. April 11, 2
2017-04-11
Fin field effect transistor structure and method to form defect free merged source and drain epitaxy for low external resistance
Grant 9,620,416 - Basker , et al. April 11, 2
2017-04-11
Gate stack formed with interrupted deposition processes and laser annealing
Grant 9,613,870 - Ando , et al. April 4, 2
2017-04-04
Gate stack formed with interrupted deposition processes and laser annealing
Grant 9,613,866 - Ando , et al. April 4, 2
2017-04-04
Localized elastic strain relaxed buffer
Grant 9,570,298 - Basker , et al. February 14, 2
2017-02-14
Gate Stack Formed With Interrupted Deposition Processes And Laser Annealing
App 20170005006 - ANDO; Takashi ;   et al.
2017-01-05
Gate Stack Formed With Interrupted Deposition Processes And Laser Annealing
App 20170005003 - ANDO; Takashi ;   et al.
2017-01-05
Multiple Nanosecond Laser Pulse Anneal Processes And Resultant Semiconductor Structure
App 20160379830 - DASGUPTA; Aritra ;   et al.
2016-12-29
LOW PARASITIC CAPACITANCE AND RESISTANCE finFET DEVICE
App 20160372596 - Gluschenkov; Oleg ;   et al.
2016-12-22
Configurable interposer
Grant 9,524,930 - Gluschenkov , et al. December 20, 2
2016-12-20
Silicon Germanium Heterojunction Bipolar Transistor Structure And Method
App 20160351682 - Gluschenkov; Oleg ;   et al.
2016-12-01
Laser anneal of buried metallic interconnects including through silicon vias
Grant 9,455,185 - Gluschenkov , et al. September 27, 2
2016-09-27
Dual pulse driven extreme ultraviolet (EUV) radiation source method
Grant 9,451,684 - Corliss , et al. September 20, 2
2016-09-20
Silicon germanium heterojunction bipolar transistor structure and method
Grant 9,450,069 - Gluschenkov , et al. September 20, 2
2016-09-20
Voidless contact metal structures
Grant 9,449,921 - Basker , et al. September 20, 2
2016-09-20
Asymmetric field effect transistor cap layer
Grant 9,431,534 - Breil , et al. August 30, 2
2016-08-30
Constrained nanosecond laser anneal of metal interconnect structures
Grant 9,412,658 - Gluschenkov , et al. August 9, 2
2016-08-09
Pressure Sensing And Control For Semiconductor Wafer Probing
App 20160216321 - Edwards; Robert D. ;   et al.
2016-07-28
Dual Pulse Driven Extreme Ultraviolet (euv) Radiation Source
App 20160205757 - Corliss; Daniel A. ;   et al.
2016-07-14
Tall relaxed high percentage silicon germanium fins on insulator
Grant 9,378,952 - Basker , et al. June 28, 2
2016-06-28
Alignment data based process control system
Grant 9,360,858 - Ausschnitt , et al. June 7, 2
2016-06-07
Asymmetric Field Effect Transistor Cap Layer
App 20160155845 - Breil; Nicolas L. ;   et al.
2016-06-02
Pressure sensing and control for semiconductor wafer probing
Grant 9,354,252 - Edwards , et al. May 31, 2
2016-05-31
Wafer thinning endpoint detection for TSV technology
Grant 9,349,661 - Ding , et al. May 24, 2
2016-05-24
Optimization of a laser anneal beam path for maximizing chip yield
Grant 9,335,759 - Breil , et al. May 10, 2
2016-05-10
Dual pulse driven extreme ultraviolet (EUV) radiation source utilizing a droplet comprising a metal core with dual concentric shells of buffer gas
Grant 9,301,381 - Corliss , et al. March 29, 2
2016-03-29
Constrained Nanosecond Laser Anneal Of Metal Interconnect Structures
App 20160086849 - Gluschenkov; Oleg ;   et al.
2016-03-24
Dual Pulse Driven Extreme Ultraviolet (euv) Radiation Source Utilizing A Droplet Comprising A Metal Core With Dual Concentric Shells Of Buffer Gas
App 20160081174 - Corliss; Daniel A. ;   et al.
2016-03-17
Gas Cluster Reactor For Anisotropic Film Growth
App 20160071723 - Gluschenkov; Oleg ;   et al.
2016-03-10
Gas cluster reactor for anisotropic film growth
Grant 9,275,866 - Gluschenkov , et al. March 1, 2
2016-03-01
Gas Cluster Reactor For Anisotropic Film Growth
App 20150376791 - Gluschenkov; Oleg ;   et al.
2015-12-31
Gas Cluster Reactor For Anisotropic Film Growth
App 20150332927 - Gluschenkov; Oleg ;   et al.
2015-11-19
Dual Silicide Integration With Laser Annealing
App 20150332936 - Breil; Nicolas L. ;   et al.
2015-11-19
Yield enhancement for stacked chips through rotationally-connecting-interposer
Grant 9,151,781 - Gluschenkov , et al. October 6, 2
2015-10-06
Optimization Of A Laser Anneal Beam Path For Maximizing Chip Yield
App 20150227137 - BREIL; NICOLAS L. ;   et al.
2015-08-13
Dual silicide integration with laser annealing
Grant 9,093,424 - Breil , et al. July 28, 2
2015-07-28
Wafer Thinning Endpoint Detection For Tsv Technology
App 20150206809 - Ding; Hanyi ;   et al.
2015-07-23
Dual Silicide Integration With Laser Annealing
App 20150171178 - Breil; Nicolas L. ;   et al.
2015-06-18
Structure and method for mobility enhanced MOSFETs with unalloyed silicide
Grant 9,059,316 - Liu , et al. June 16, 2
2015-06-16
Pressure Sensing And Control For Semiconductor Wafer Probing
App 20150145544 - Edwards; Robert D. ;   et al.
2015-05-28
Silicon device on SI:C-OI and SGOI and method of manufacture
Grant 9,040,373 - Chidambarrao , et al. May 26, 2
2015-05-26
Pressure sensing and control for semiconductor wafer probing
Grant 8,963,567 - Edwards , et al. February 24, 2
2015-02-24
Configurable interposer
Grant 8,759,152 - Gluschenkov , et al. June 24, 2
2014-06-24
Configurable Interposer
App 20140145351 - Gluschenkov; Oleg ;   et al.
2014-05-29
Silicon Device On Si:c-oi And Sgoi And Method Of Manufacture
App 20140103366 - CHIDAMBARRAO; Dureseti ;   et al.
2014-04-17
Shallow trench isolation for device including deep trench capacitors
Grant 8,679,938 - Fang , et al. March 25, 2
2014-03-25
Structure of high-K metal gate semiconductor transistor
Grant 8,643,061 - Yin , et al. February 4, 2
2014-02-04
Structure and method for mobility enhanced MOSFETS with unalloyed silicide
Grant 8,642,434 - Liu , et al. February 4, 2
2014-02-04
Application of cluster beam implantation for fabricating threshold voltage adjusted FETs
Grant 8,557,652 - Gluschenkov , et al. October 15, 2
2013-10-15
Silicon Germanium Heterojunction Bipolar Transistor Structure And Method
App 20130210210 - Gluschenkov; Oleg ;   et al.
2013-08-15
Method of forming a semiconductor device having a cut-way hole to expose a portion of a hardmask layer
Grant 8,507,346 - Burkhardt , et al. August 13, 2
2013-08-13
Shallow Trench Isolation For Device Including Deep Trench Capacitors
App 20130200482 - FANG; Sunfei ;   et al.
2013-08-08
Application of cluster beam implantation for fabricating threshold voltage adjusted FETs
Grant 8,492,848 - Gluschenkov , et al. July 23, 2
2013-07-23
Silicon germanium heterojunction bipolar transistor structure and method
Grant 8,455,322 - Gluschenkov , et al. June 4, 2
2013-06-04
Pressure Sensing And Control For Semiconductor Wafer Probing
App 20130106455 - Edwards; Robert D. ;   et al.
2013-05-02
Alignment Data Based Process Control System
App 20130041494 - Ausschnitt; Christopher P. ;   et al.
2013-02-14
Application Of Cluster Beam Implantation For Fabricating Threshold Voltage Adjusted Fets
App 20130005126 - Gluschenkov; Oleg ;   et al.
2013-01-03
Application of cluster beam implantation for fabricating threshold voltage adjusted FETs
Grant 8,288,222 - Gluschenkov , et al. October 16, 2
2012-10-16
Configurable Interposer
App 20120241977 - Gluschenkov; Oleg ;   et al.
2012-09-27
Configurable interposer
Grant 8,237,278 - Gluschenkov , et al. August 7, 2
2012-08-07
Application Of Cluster Beam Implantation For Fabricating Threshold Voltage Adjusted Fets
App 20120187502 - Gluschenkov; Oleg ;   et al.
2012-07-26
Method for manufacturing double gate finFET with asymmetric halo
Grant 8,227,316 - Zhu , et al. July 24, 2
2012-07-24
Structure and method for mobility enhanced MOSFETs with unalloyed silicide
Grant 8,217,423 - Liu , et al. July 10, 2
2012-07-10
Structure And Method For Mobility Enhanced Mosfets With Unalloyed Silicide
App 20120146092 - Liu; Yaocheng ;   et al.
2012-06-14
Yield Enhancement For Stacked Chips Through Rotationally-connecting-interposer
App 20120146682 - Gluschenkov; Oleg ;   et al.
2012-06-14
Structure And Method For Mobility Enhanced Mosfets With Unalloyed Silicide
App 20120149159 - Liu; Yaocheng ;   et al.
2012-06-14
Wafer Fill Patterns And Uses
App 20120126294 - Burkhardt; Martin ;   et al.
2012-05-24
Structure Of High-k Metal Gate Semiconductor Transistor
App 20120098067 - Yin; Haizhou ;   et al.
2012-04-26
Yield enhancement for stacked chips through rotationally-connecting-interposer
Grant 8,159,247 - Gluschenkov , et al. April 17, 2
2012-04-17
Inline low-damage automated failure analysis
Grant 8,111,903 - Herschbein , et al. February 7, 2
2012-02-07
Method for optimizing the routing of wafers/lots based on yield
Grant 8,095,230 - Ouyang , et al. January 10, 2
2012-01-10
Method Of Producing Bonded Wafer Structure With Buried Oxide/nitride Layers
App 20110180896 - Pfeiffer; Gerd ;   et al.
2011-07-28
Integrated circuit chip with FETs having mixed body thicknesses and method of manufacture thereof
Grant 7,968,944 - Joshi , et al. June 28, 2
2011-06-28
Anti-halo compensation
Grant 7,952,149 - Dokumaci , et al. May 31, 2
2011-05-31
Configurable Interposer
App 20110115082 - Gluschenkov; Oleg ;   et al.
2011-05-19
Source/drain junction for high performance MOSFET formed by selective EPI process
Grant 7,932,136 - Hua , et al. April 26, 2
2011-04-26
Application Of Cluster Beam Implantation For Fabricating Threshold Voltage Adjusted Fets
App 20110089495 - Gluschenkov; Oleg ;   et al.
2011-04-21
Hybrid SOI/bulk semiconductor transistors
Grant 7,923,782 - Zhu , et al. April 12, 2
2011-04-12
Yield Enhancement For Stacked Chips Through Rotationally-connecting-interposer
App 20110080189 - Gluschenkov; Oleg ;   et al.
2011-04-07
Silicon germanium heterojunction bipolar transistor structure and method
Grant 7,900,167 - Gluschenkov , et al. March 1, 2
2011-03-01
Structure for on-chip electromigration monitoring system
Grant 7,840,916 - Hsu , et al. November 23, 2
2010-11-23
Method for reducing overlap capacitance in field effect transistors
Grant 7,824,989 - Zhu , et al. November 2, 2
2010-11-02
Activating Dopants Using Multiple Consecutive Millisecond-range Anneals
App 20100240227 - Gluschenkov; Oleg ;   et al.
2010-09-23
Method for forming a multi-gate device with high k dielectric for channel top surface
Grant 7,785,943 - Doris , et al. August 31, 2
2010-08-31
Activating dopants using multiple consecutive millisecond-range anneals
Grant 7,786,025 - Gluschenkov , et al. August 31, 2
2010-08-31
Hybrid SOI/bulk semiconductor transistors
Grant 7,767,503 - Zhu , et al. August 3, 2
2010-08-03
Silicon germanium heterojunction bipolar transistor structure and method
Grant 7,750,371 - Gluschenkov , et al. July 6, 2
2010-07-06
Structure and method to improve channel mobility by gate electrode stress modification
Grant 7,750,410 - Belyansky , et al. July 6, 2
2010-07-06
Silicon Germanium Heterojunction Bipolar Transistor Structure And Method
App 20100159664 - Gluschenkov; Oleg ;   et al.
2010-06-24
Oxidation method for altering a film structure
Grant 7,741,166 - Belyansky , et al. June 22, 2
2010-06-22
Method for fabricating a semiconductor structure
Grant 7,732,288 - Zhu , et al. June 8, 2
2010-06-08
Structure and method for monitoring and characterizing pattern density dependence on thermal absorption in a semiconductor manufacturing process
Grant 7,719,005 - Ahsan , et al. May 18, 2
2010-05-18
On-chip electromigration monitoring
Grant 7,719,302 - Hsu , et al. May 18, 2
2010-05-18
Method for reducing overlap capacitance in field effect transistors
Grant 7,709,333 - Zhu , et al. May 4, 2
2010-05-04
Inline Low-damage Automated Failure Analysis
App 20100080446 - Herschbein; Steven B. ;   et al.
2010-04-01
Method For Optimizing The Routing Of Wafers/lots Based On Yield
App 20090317924 - Ouyang; Xu ;   et al.
2009-12-24
Integrated Circuit Chip With Fets Having Mixed Body Thicknesses And Method Of Manufacture Thereof
App 20090302387 - JOSHI; RAJIV V. ;   et al.
2009-12-10
Field effect transistors with dielectric source drain halo regions and reduced miller capacitance
Grant 7,618,853 - Belyansky , et al. November 17, 2
2009-11-17
Semiconductor device and method of manufacture
Grant 7,615,435 - Gluschenkov , et al. November 10, 2
2009-11-10
Source/drain Junction For High Performance Mosfet Formed By Selective Epi Process
App 20090267149 - Hua; Xuefeng ;   et al.
2009-10-29
Highly manufacturable SRAM cells in substrates with hybrid crystal orientation
Grant 7,605,447 - Doris , et al. October 20, 2
2009-10-20
Method of producing highly strained PECVD silicon nitride thin films at low temperature
Grant 7,585,704 - Belyansky , et al. September 8, 2
2009-09-08
Method Of Fabricating Gate Electrode For Gate Of Mosfet And Structure Thereof
App 20090166770 - Gluschenkov; Oleg ;   et al.
2009-07-02
Ultraviolet Uv Photo Processing Or Curing Of Thin Films With Surface Treatment
App 20090155487 - BELYANSKY; MICHAEL P. ;   et al.
2009-06-18
Integrated Circuit System Employing Diffused Source/drain Extensions
App 20090146181 - Lai; Chung Woh ;   et al.
2009-06-11
Method For Fabricating A Semiconductor Structure
App 20090142894 - Zhu; Huilong ;   et al.
2009-06-04
Design structure for on-chip electromigration monitoring system
App 20090132985 - Hsu; Louis L. ;   et al.
2009-05-21
Silicon Germanium Heterojunction Bipolar Transistor Structure And Method
App 20090108300 - Gluschenkov; Oleg ;   et al.
2009-04-30
Integrated circuit chip with FETs having mixed body thickness and method of manufacture thereof
Grant 7,521,760 - Joshi , et al. April 21, 2
2009-04-21
Method of forming an ultra-thin [[HfSiO]] metal silicate film for high performance CMOS applications and semiconductor structure formed in said method
Grant 7,504,700 - Zhu , et al. March 17, 2
2009-03-17
Stressed semiconductor device structures having granular semiconductor material
Grant 7,488,658 - Doris , et al. February 10, 2
2009-02-10
Semiconductor Device And Method Of Manufacture
App 20090032840 - GLUSCHENKOV; OLEG ;   et al.
2009-02-05
Method For Reducing Overlap Capacitance In Field Effect Transistors
App 20080299732 - Zhu; Huilong ;   et al.
2008-12-04
Hybrid SOI-bulk semiconductor transistors
Grant 7,452,761 - Zhu , et al. November 18, 2
2008-11-18
Method for reducing overlap capacitance in field effect transistors
Grant 7,446,004 - Zhu , et al. November 4, 2
2008-11-04
Silicon Germanium Heterojunction Bipolar Transistor Structure And Method
App 20080265282 - Gluschenkov; Oleg ;   et al.
2008-10-30
On-chip electromigration monitoring
App 20080265931 - Hsu; Louis L. ;   et al.
2008-10-30
Hybrid Soi/bulk Semiconductor Transistors
App 20080242069 - Zhu; Huilong ;   et al.
2008-10-02
STRAINED SILICON MADE BY PRECIPITATING CARBON FROM Si(1-x-y)GexCy ALLOY
App 20080206965 - Gluschenkov; Oleg ;   et al.
2008-08-28
Structure And Method For Monitoring And Characterizing Pattern Density Dependence On Thermal Absorption In A Semiconductor Manufacturing Process
App 20080185583 - AHSAN; ISHTIAQ ;   et al.
2008-08-07
Method For Reducing Overlap Capacitance In Field Effect Transistors
App 20080166848 - Zhu; Huilong ;   et al.
2008-07-10
Structure And Method For Mobility Enhanced Mosfets With Unalloyed Silicide
App 20080164491 - Liu; Yaocheng ;   et al.
2008-07-10
On-chip electromigration monitoring system
Grant 7,394,273 - Hsu , et al. July 1, 2
2008-07-01
Semiconductor Device Structure With Active Regions Having Different Surface Directions
App 20080142852 - Doris; Bruce B. ;   et al.
2008-06-19
Multi-gate device with high k dielectric for channel top surface
Grant 7,388,257 - Doris , et al. June 17, 2
2008-06-17
Hybrid SOI-Bulk Semiconductor Transistors
App 20080090366 - Zhu; Huilong ;   et al.
2008-04-17
Semiconductor device structure with active regions having different surface directions and methods
Grant 7,354,806 - Doris , et al. April 8, 2
2008-04-08
Structure for reducing overlap capacitance in field effect transistors
Grant 7,355,245 - Zhu , et al. April 8, 2
2008-04-08
Multi-gate Device With High K Dielectric For Channel Top Surface
App 20080070366 - Doris; Bruce B. ;   et al.
2008-03-20
Stressed Semiconductor Device Structures Having Granular Semiconductor Material
App 20080064172 - Doris; Bruce B. ;   et al.
2008-03-13
Field effect transistors with dielectric source drain halo regions and reduced miller capacitance
Grant 7,342,266 - Belyansky , et al. March 11, 2
2008-03-11
Single Ic-chip Design On Wafer With An Embedded Sensor Utilizing Rf Capabilities To Enable Real-time Data Transmission
App 20080050847 - Gluschenkov; Oleg ;   et al.
2008-02-28
Integrated Circuit Chip With Fets Having Mixed Body Thicknesses And Method Of Manufacture Thereof
App 20080026512 - JOSHI; RAJIV V. ;   et al.
2008-01-31
Field Effect Transistors With Dielectric Source Drain Halo Regions And Reduced Miller Capacitance
App 20080020522 - Belyansky; Michael P. ;   et al.
2008-01-24
Structure And Method For Manufacturing Double Gate Finfet With Asymmetric Halo
App 20080001227 - Zhu; Huilong ;   et al.
2008-01-03
Dual stressed SOI substrates
Grant 7,312,134 - Chidambarrao , et al. December 25, 2
2007-12-25
Integrated Circuit Chip With Fets Having Mixed Body Thicknesses And Method Of Manufacture Thereof
App 20070257314 - JOSHI; RAJIV V. ;   et al.
2007-11-08
Method For Reducing Overlap Capacitance In Field Effect Transistors
App 20070254443 - Zhu; Huilong ;   et al.
2007-11-01
Integrated circuit chip with FETs having mixed body thicknesses and method of manufacture thereof
Grant 7,285,480 - Joshi , et al. October 23, 2
2007-10-23
Temperature stable metal nitride gate electrode
Grant 7,282,403 - Park , et al. October 16, 2
2007-10-16
Integrated Circuit Chip With Fets Having Mixed Body Thicknesses And Method Of Manufacture Thereof
App 20070235806 - Joshi; Rajiv V. ;   et al.
2007-10-11
Epitaxy of Silicon-Carbon Substitutional Solid Solutions by Ultra-Fast Annealing of Amorphous Material
App 20070238267 - Liu; Yaocheng ;   et al.
2007-10-11
Method of forming self-aligned low-k gate cap
Grant 7,271,049 - Gluschenkov , et al. September 18, 2
2007-09-18
Dual Stressed Soi Substrates
App 20070202639 - Chidambarrao; Dureseti ;   et al.
2007-08-30
Dual stressed SOI substrates
Grant 7,262,087 - Chidambarrao , et al. August 28, 2
2007-08-28
Structure for reducing overlap capacitance in field effect transistors
Grant 7,253,482 - Zhu , et al. August 7, 2
2007-08-07
Structure For Reducing Overlap Capacitance In Field Effect Transistors
App 20070170527 - Zhu; Huilong ;   et al.
2007-07-26
Method of fabricating a field effect transistor having improved junctions
Grant 7,247,547 - Zhu , et al. July 24, 2
2007-07-24
On-chip Electromigration Monitoring System
App 20070164768 - Hsu; Louis L. ;   et al.
2007-07-19
Field Effect Transistors With Dielectric Source Drain Halo Regions And Reduced Miller Capacitance
App 20070161169 - Belyansky; Michael P. ;   et al.
2007-07-12
Self-aligned low-k gate cap
Grant 7,230,296 - Gluschenkov , et al. June 12, 2
2007-06-12
Method of fabricating mobility enhanced CMOS devices
Grant 7,205,206 - Belyansky , et al. April 17, 2
2007-04-17
CMOS transistor structure including film having reduced stress by exposure to atomic oxygen
Grant 7,202,516 - Belyansky , et al. April 10, 2
2007-04-10
Highly Manufacturable Sram Cells In Substrates With Hybrid Crystal Orientation
App 20070063278 - Doris; Bruce B. ;   et al.
2007-03-22
Multiple Low And High K Gate Oxides On Single Gate For Lower Miller Capacitance And Improved Drive Current
App 20070063277 - Belyansky; Michael P. ;   et al.
2007-03-22
High performance FET with laterally thin extension
Grant 7,176,116 - Cabral, Jr. , et al. February 13, 2
2007-02-13
Structure And Method For Reducing Overlap Capacitance In Field Effect Transistors
App 20070032028 - Zhu; Huilong ;   et al.
2007-02-08
Vertical MOSFET SRAM cell
App 20070007601 - Hsu; Louis L. ;   et al.
2007-01-11
Forming gate oxides having multiple thicknesses
Grant 7,160,771 - Chou , et al. January 9, 2
2007-01-09
Self-aligned low-k gate cap
App 20060289909 - Gluschenkov; Oleg ;   et al.
2006-12-28
Vertical MOSFET SRAM cell
Grant 7,138,685 - Hsu , et al. November 21, 2
2006-11-21
Anti-halo Compensation
App 20060255375 - Dokumaci; Omer H. ;   et al.
2006-11-16
ULTRA-THIN Hf-DOPED-SILICON OXYNITRIDE FILM FOR HIGH PERFORMANCE CMOS APPLICATIONS AND METHOD OF MANUFACTURE
App 20060237803 - Zhu; Wenjuan ;   et al.
2006-10-26
Single Ic-chip Design On Wafer With An Embedded Sensor Utilizing Rf Capabilities To Enable Real-time Data Transmission
App 20060234398 - Gluschenkov; Oleg ;   et al.
2006-10-19
Stressed semiconductor device structures having granular semiconductor material
Grant 7,122,849 - Doris , et al. October 17, 2
2006-10-17
Method Of Producing Highly Strained Pecvd Silicon Nitride Thin Films At Low Temperature
App 20060223290 - Belyansky; Michael P. ;   et al.
2006-10-05
Gate electrode forming methods using conductive hard mask
Grant 7,084,024 - Gluschenkov , et al. August 1, 2
2006-08-01
Structure And Method To Enhance Stress In A Channel Of Cmos Devices Using A Thin Gate
App 20060160317 - Zhu; Huilong ;   et al.
2006-07-20
Method Of Fabricating A Field Effect Transistor Having Improved Junctions
App 20060148215 - Zhu; Huilong ;   et al.
2006-07-06
CMOS transistor structure including film having reduced stress by exposure to atomic oxygen
App 20060131659 - Belyansky; Michael P. ;   et al.
2006-06-22
Dual Stressed Soi Substrates
App 20060125008 - Chidambarrao; Dureseti ;   et al.
2006-06-15
Nanocircuit and self-correcting etching method for fabricating same
App 20060118825 - Dokumaci; Omer H. ;   et al.
2006-06-08
Lowered Source/Drain Transistors
App 20060108651 - Zhu; Huilong ;   et al.
2006-05-25
Oxidation method for altering a film structure
App 20060105516 - Belyansky; Michael P. ;   et al.
2006-05-18
SELF-ALIGNED LOW-k GATE CAP
App 20060099783 - Gluschenkov; Oleg ;   et al.
2006-05-11
Method for manufacturing tungsten/polysilicon word line structure in vertical DRAM
Grant 7,030,012 - Divakaruni , et al. April 18, 2
2006-04-18
Nanocircuit and self-correcting etching method for fabricating same
Grant 7,026,247 - Dokumaci , et al. April 11, 2
2006-04-11
Temperature stable metal nitride gate electrode
Grant 7,023,064 - Park , et al. April 4, 2
2006-04-04
Trench capacitor vertical structure
Grant 7,023,041 - La Rosa , et al. April 4, 2
2006-04-04
Gate Electrode Forming Methods Using Conductive Hard Mask
App 20060068575 - Gluschenkov; Oleg ;   et al.
2006-03-30
Semiconductor Device Structure With Active Regions Having Different Surface Directions And Methods
App 20060060925 - Doris; Bruce B. ;   et al.
2006-03-23
Multi-gate Device With High K Dielectric For Channel Top Surface
App 20060043421 - Doris; Bruce B. ;   et al.
2006-03-02
Temperature stable metal nitride gate electrode
App 20060040439 - Park; Dae-Gyu ;   et al.
2006-02-23
MOSFET structure with high mechanical stress in the channel
Grant 7,002,209 - Chen , et al. February 21, 2
2006-02-21
Nitride and polysilicon interface with titanium layer
App 20060001162 - Schutz; Ronald J. ;   et al.
2006-01-05
Oxidation method for altering a film structure and CMOS transistor structure formed therewith
Grant 6,982,196 - Belyansky , et al. January 3, 2
2006-01-03
Structure and method to improve channel mobility by gate electrode stress modification
App 20050282325 - Belyansky, Michael P. ;   et al.
2005-12-22
Temperature Stable Metal Nitride Gate Electrode
App 20050280099 - Park, Dae-Gyu ;   et al.
2005-12-22
Structure and method to improve channel mobility by gate electrode stress modification
Grant 6,977,194 - Belyansky , et al. December 20, 2
2005-12-20
Logic circuits having linear and cellular gate transistors
Grant 6,975,133 - Chan , et al. December 13, 2
2005-12-13
Logic Circuits Having Linear And Cellular Gate Transistors
App 20050264320 - Chan, Victor Wing Chung ;   et al.
2005-12-01
MOSFET structure with high mechanical stress in the channel
App 20050260808 - Chen, Xiangdong ;   et al.
2005-11-24
Pitcher-shaped active area for field effect transistor and method of forming same
Grant 6,960,514 - Beintner , et al. November 1, 2
2005-11-01
Method For Manufacturing Tungsten/polysilicon Word Line Structure In Vertical Dram And Device Manufactured Thereby
App 20050202672 - Divakaruni, Ramachandra ;   et al.
2005-09-15
Mobility Enhanced Cmos Devices
App 20050194699 - Belyansky, Michael P. ;   et al.
2005-09-08
Hybrid Soi/bulk Semiconductor Transistors
App 20050189589 - Zhu, Huilong ;   et al.
2005-09-01
High performance FET with laterally thin extension
Grant 6,933,577 - Cabral, Jr. , et al. August 23, 2
2005-08-23
Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
Grant 6,930,060 - Chou , et al. August 16, 2
2005-08-16
High performance FET with laterally thin extension
App 20050148142 - Cabral, Cyril JR. ;   et al.
2005-07-07
Gate structure with independently tailored vertical doping profile
Grant 6,911,384 - Dokumaci , et al. June 28, 2
2005-06-28
Gate metal recess for oxidation protection and parasitic capacitance reduction
Grant 6,908,806 - Yang , et al. June 21, 2
2005-06-21
Forming gate oxides having multiple thicknesses
App 20050118764 - Chou, Anthony I-Chih ;   et al.
2005-06-02
Stressed Semiconductor Device Structures Having Granular Semiconductor Material
App 20050106799 - Doris, Bruce B. ;   et al.
2005-05-19
Trench isolation employing a doped oxide trench fill
Grant 6,890,833 - Belyansky , et al. May 10, 2
2005-05-10
Structure and method to improve channel mobility by gate electrode stress modification
App 20050093059 - Belyansky, Michael P. ;   et al.
2005-05-05
Oxidation Method For Altering A Film Structure And Cmos Transistor Structure Formed Therewith
App 20050093081 - Belyansky, Michael P. ;   et al.
2005-05-05
High Performance Fet With Laterally Thin Extension
App 20050087824 - Cabral, Cyril JR. ;   et al.
2005-04-28
Nanocircuit and self-correcting etching method for fabricating same
App 20050087809 - Dokumaci, Omer H. ;   et al.
2005-04-28
Low-GIDL MOSFET structure and method for fabrication
Grant 6,878,582 - Dokumaci , et al. April 12, 2
2005-04-12
High performance logic and high density embedded dram with borderless contact and antispacer
Grant 6,873,010 - Chidambarrao , et al. March 29, 2
2005-03-29
Low-GIDL MOSFET structure and method for fabrication
Grant 6,841,826 - Dokumaci , et al. January 11, 2
2005-01-11
Method For Forming A Uniform Distribution Of Nitrogen In Silicon Oxynitride Gate Dielectric
App 20040256664 - Chou, Anthony I. ;   et al.
2004-12-23
Low-GIDL MOSFET structure and method for fabrication
App 20040248356 - Dokumaci, Omer H. ;   et al.
2004-12-09
Trench isolation employing a doped oxide trench fill
App 20040192009 - Belyansky, Michael ;   et al.
2004-09-30
Pitcher-shaped active area for field effect transistor and method of forming same
App 20040173858 - Beintner, Jochen ;   et al.
2004-09-09
Amorphous and polycrystalline silicon nanolaminate
App 20040171177 - Dokumaci, Omer H. ;   et al.
2004-09-02

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed