loadpatents
name:-0.15656089782715
name:-0.10234594345093
name:-0.034362077713013
George; Varghese Patent Filings

George; Varghese

Patent Applications and Registrations

Patent applications and USPTO patent grants for George; Varghese.The latest application filed is for "systems and methods for improving cache efficiency and utilization".

Company Profile
40.95.148
  • George; Varghese - Folsom CA
  • George; Varghese - Miramar FL
  • George; Varghese - San Jose CA
  • George; Varghese - Walnut Creek CA
  • George; Varghese - Contra Costa CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Systems And Methods For Improving Cache Efficiency And Utilization
App 20220261347 - Koker; Altug ;   et al.
2022-08-18
Preemptive page fault handling
Grant 11,416,411 - Ramadoss , et al. August 16, 2
2022-08-16
Disaggregation of System-On-Chip (SOC) architecture
Grant 11,410,266 - Matam , et al. August 9, 2
2022-08-09
Scalar core integration
Grant 11,409,693 - Ray , et al. August 9, 2
2022-08-09
Systems and methods to skip inconsequential matrix operations
Grant 11,403,097 - Ould-Ahmed-Vall , et al. August 2, 2
2022-08-02
Memory Prefetching In Multiple Gpu Environment
App 20220222767 - Ray; Joydeep ;   et al.
2022-07-14
Enabling product SKUS based on chiplet configurations
Grant 11,386,521 - Koker , et al. July 12, 2
2022-07-12
Sharing Register File Usage Between Fused Processing Resources
App 20220206795 - MAIYURAN; SUBRAMANIAM ;   et al.
2022-06-30
System And Methods To Provide Hierarchical Open Sectoring And Variable Sector Size For Cache Operations
App 20220197800 - Appu; Abhishek ;   et al.
2022-06-23
Enabling Product Skus Based On Chiplet Configurations
App 20220188967 - Koker; Altug ;   et al.
2022-06-16
Graphics processors and graphics processing units having dot product accumulate instruction for hybrid floating point format
Grant 11,361,496 - Maiyuran , et al. June 14, 2
2022-06-14
Disaggregation Of System-on-chip (soc) Architecture
App 20220180468 - Matam; Naveen ;   et al.
2022-06-09
Systems And Methods For Updating Memory Side Caches In A Multi-gpu Configuration
App 20220180467 - Koker; Altug ;   et al.
2022-06-09
Systems And Methods For Improving Cache Efficiency And Utilization
App 20220179787 - Koker; Altug ;   et al.
2022-06-09
Cache Structure And Utilization
App 20220171710 - Koker; Altug ;   et al.
2022-06-02
Systems And Methods For Exploiting Queues And Transitional Storage For Improved Low-latency High-bandwidth On-die Data Retrieval
App 20220164917 - Anantaraman; Aravindh ;   et al.
2022-05-26
Systems And Methods For Cache Optimization
App 20220156202 - Koker; Altug ;   et al.
2022-05-19
Scalable Sparse Matrix Multiply Acceleration Using Systolic Arrays With Feedback Inputs
App 20220156343 - MAIYURAN; SUBRAMANIAM ;   et al.
2022-05-19
Method and apparatus for approximation using polynomials
Grant 11,327,754 - Parra , et al. May 10, 2
2022-05-10
Graphics Processor Data Access And Sharing
App 20220137967 - Koker; Altug ;   et al.
2022-05-05
Memory Controller Management Techniques
App 20220138101 - Appu; Abhishek R. ;   et al.
2022-05-05
Graphics Processors And Graphics Processing Units Having Dot Product Accumulate Instruction For Hybrid Floating Point Format
App 20220129266 - Maiyuran; Subramaniam ;   et al.
2022-04-28
Systolic Disaggregation Within A Matrix Accelerator Architecture
App 20220129521 - Surti; Prasoonkumar ;   et al.
2022-04-28
Compression Techniques
App 20220129265 - Appu; Abhishek R. ;   et al.
2022-04-28
Data Initialization Techniques
App 20220129271 - Appu; Abhishek R. ;   et al.
2022-04-28
Instructions and logic for vector multiply add with zero skipping
Grant 11,314,515 - Pal , et al. April 26, 2
2022-04-26
Multi-tile Memory Management
App 20220121421 - Appu; Abhishek R. ;   et al.
2022-04-21
Graphics Processor Operation Scheduling For Deterministic Latency
App 20220122215 - RAY; JOYDEEP ;   et al.
2022-04-21
Multi-tile Memory Management for Detecting Cross Tile Access Providing Multi-Tile Inference Scaling and Providing Page Migration
App 20220114096 - Striramassarma; Lakshminarayanan ;   et al.
2022-04-14
Systems And Methods For Cache Optimization
App 20220114108 - Koker; Altug ;   et al.
2022-04-14
Multi-tile Architecture For Graphics Operations
App 20220107914 - Koker; Altug ;   et al.
2022-04-07
Methods Of Treating Aging-related Disorders
App 20220073578 - Wong; Hing C. ;   et al.
2022-03-10
Dynamic Memory Reconfiguration
App 20220066931 - RAY; JOYDEEP ;   et al.
2022-03-03
Local Memory Sharing Between Kernels
App 20220058053 - Andrei; Valentin ;   et al.
2022-02-24
Graphics Architecture Including A Neural Network Pipeline
App 20220058853 - LABBE; HUGUES ;   et al.
2022-02-24
Disaggregation Of System-on-chip (soc) Architecture
App 20220036500 - Matam; Naveen ;   et al.
2022-02-03
Memory prefetching in multiple GPU environment
Grant 11,232,533 - Ray , et al. January 25, 2
2022-01-25
Systems and methods for exploiting queues and transitional storage for improved low-latency high-bandwidth on-die data retrieval
Grant 11,227,358 - Anantaraman , et al. January 18, 2
2022-01-18
Sharing register file usage between fused processing resources
Grant 11,221,848 - Maiyuran , et al. January 11, 2
2022-01-11
Common platform for one-level memory architecture and two-level memory architecture
Grant 11,221,762 - Ray , et al. January 11, 2
2022-01-11
Scalable sparse matrix multiply acceleration using systolic arrays with feedback inputs
Grant 11,204,977 - Maiyuran , et al. December 21, 2
2021-12-21
Sparse Optimizations For A Matrix Accelerator Architecture
App 20210374897 - Ray; Joydeep ;   et al.
2021-12-02
Scalable Sparse Matrix Multiply Acceleration Using Systolic Arrays With Feedback Inputs
App 20210349966 - MAIYURAN; SUBRAMANIAM ;   et al.
2021-11-11
Scalar Core Integration
App 20210349848 - RAY; JOYDEEP ;   et al.
2021-11-11
Graphics Systems And Methods For Accelerating Synchronization Using Fine Grain Dependency Check And Scheduling Optimizations Based On Available Shared Memory Space
App 20210326176 - Maiyuran; Subramaniam ;   et al.
2021-10-21
Graphics architecture including a neural network pipeline
Grant 11,151,769 - Labbe , et al. October 19, 2
2021-10-19
Graphics Processors And Graphics Processing Units Having Dot Product Accumulate Instruction For Hybrid Floating Point Format
App 20210312697 - Maiyuran; Subramaniam ;   et al.
2021-10-07
Efficient Data Sharing For Graphics Data Processing Operations
App 20210303481 - Ray; Joydeep ;   et al.
2021-09-30
Instruction And Logic For Systolic Dot Product With Accumulate
App 20210303299 - MAIYURAN; SUBRAMANIAM ;   et al.
2021-09-30
Local memory sharing between kernels
Grant 11,119,820 - Andrei , et al. September 14, 2
2021-09-14
Sparse optimizations for a matrix accelerator architecture
Grant 11,113,784 - Ray , et al. September 7, 2
2021-09-07
Methods Of Activating Regulatory T Cells
App 20210268022 - Wong; Hing C. ;   et al.
2021-09-02
Enabling Product Skus Based On Chiplet Configurations
App 20210256654 - Koker; Altug ;   et al.
2021-08-19
Instructions And Logic For Vector Multiply Add With Zero Skipping
App 20210191724 - Pal; Supratim ;   et al.
2021-06-24
Instruction and logic for systolic dot product with accumulate
Grant 11,042,370 - Maiyuran , et al. June 22, 2
2021-06-22
Graphics systems and methods for accelerating synchronization using fine grain dependency check and scheduling optimizations based on available shared memory space
Grant 11,036,545 - Maiyuran , et al. June 15, 2
2021-06-15
Scalar core integration
Grant 11,016,929 - Ray , et al. May 25, 2
2021-05-25
Disaggregation Of Soc Architecture
App 20210133913 - Matam; Naveen ;   et al.
2021-05-06
Architecture For Block Sparse Operations On A Systolic Array
App 20210103550 - Appu; Abhishek ;   et al.
2021-04-08
Shared local memory read merge and multicast return
Grant 10,970,808 - Ray , et al. April 6, 2
2021-04-06
Neural Network Processing For Multi-object 3d Modeling
App 20210090327 - Boyce; Jill ;   et al.
2021-03-25
Deep Learning Implementations Using Systolic Arrays And Fused Operations
App 20210089316 - RASH; William ;   et al.
2021-03-25
Sharing Register File Usage Between Fused Processing Resources
App 20210089301 - MAIYURAN; SUBRAMANIAM ;   et al.
2021-03-25
Utilizing Structured Sparsity In Systolic Arrays
App 20210081201 - Maiyuran; Subramaniam ;   et al.
2021-03-18
Programmable Conversion Hardware
App 20210072955 - MELLEMPUDI; Naveen ;   et al.
2021-03-11
Sparse Optimizatoins For A Matrix Accelerator Architecture
App 20210035258 - Ray; Joydeep ;   et al.
2021-02-04
Enabling product SKUs based on chiplet configurations
Grant 10,909,652 - Koker , et al. February 2, 2
2021-02-02
Systems And Methods To Skip Inconsequential Matrix Operations
App 20200409705 - OULD-AHMED-VALL; Elmoustapha ;   et al.
2020-12-31
Virtualization And Multi-tenancy Support In Graphics Processors
App 20200409733 - SANKARAN; Rajesh ;   et al.
2020-12-31
Shared Local Memory Read Merge And Multicast Return
App 20200402198 - Ray; Joydeep ;   et al.
2020-12-24
Neural network processing for multi-object 3D modeling
Grant 10,861,225 - Boyce , et al. December 8, 2
2020-12-08
Disaggregation of SOC architecture
Grant 10,803,548 - Matam , et al. October 13, 2
2020-10-13
Method And Apparatus For Approximation Using Polynomials
App 20200310800 - PARRA; Jorge ;   et al.
2020-10-01
Enabling Product Skus Based On Chiplet Configurations
App 20200294180 - Koker; Altug ;   et al.
2020-09-17
Graphics Systems And Methods For Accelerating Synchronization Using Fine Grain Dependency Check And Scheduling Optimizations Based On Available Shared Memory Space
App 20200293369 - Maiyuran; Subramaniam ;   et al.
2020-09-17
On Chip Dense Memory For Temporal Buffering
App 20200294182 - George; Varghese ;   et al.
2020-09-17
Systems And Methods For Synchronization Of Multi-thread Lanes
App 20200293368 - Andrei; Valentin ;   et al.
2020-09-17
Scalar Core Integration
App 20200293488 - RAY; JOYDEEP ;   et al.
2020-09-17
Preemptive Page Fault Handling
App 20200293456 - RAMADOSS; MURALI ;   et al.
2020-09-17
Systems And Methods For Exploiting Queues And Transitional Storage For Improved Low-latency High-bandwidth On-die Data Retrieval
App 20200294178 - Anantaraman; Aravindh ;   et al.
2020-09-17
Disaggregation Of Soc Architecture
App 20200294181 - Matam; Naveen ;   et al.
2020-09-17
Memory Prefetching In Multiple Gpu Environment
App 20200294179 - Ray; Joydeep ;   et al.
2020-09-17
Migrating threads between asymmetric cores in a multiple core processor
Grant 10,761,898 - Jahagirdar , et al. Sep
2020-09-01
Asymmetric performance multicore architecture with same instruction set architecture
Grant 10,740,281 - George , et al. A
2020-08-11
Graphics Architecture Including A Neural Network Pipeline
App 20200051309 - LABBE; HUGUES ;   et al.
2020-02-13
Multi-object, Three-dimensional Modeling And Model Selection
App 20190362461 - GEORGE; VARGHESE ;   et al.
2019-11-28
Instruction And Logic For Systolic Dot Product With Accumulate
App 20190324746 - MAIYURAN; SUBRAMANIAM ;   et al.
2019-10-24
Devices And Methods For Sensing Bladder Fullness
App 20190209067 - Peterson; Ralph Walter ;   et al.
2019-07-11
Common Platform For One-level Memory Architecture And Two-level Memory Architecture
App 20190179531 - Ray; Joydeep ;   et al.
2019-06-13
Neural Network Processing For Multi-object 3d Modeling
App 20190130639 - Boyce; Jill ;   et al.
2019-05-02
Common platform for one-level memory architecture and two-level memory architecture
Grant 10,228,861 - Ray , et al.
2019-03-12
Asymmetric Performance Multicore Architecture With Same Instruction Set Architecture
App 20190073336 - GEORGE; VARGHESE ;   et al.
2019-03-07
Asymmetric performance multicore architecture with same instruction set architecture
Grant 10,049,080 - George , et al. August 14, 2
2018-08-14
Method, apparatus, and system for optimizing frequency and performance in a multidie microprocessor
Grant 9,984,038 - Allarey , et al. May 29, 2
2018-05-29
Migrating Threads Between Asymmetric Cores In A Multiple Core Processor
App 20180129542 - JAHAGIRDAR; SANJEEV S. ;   et al.
2018-05-10
Clock jitter and power supply noise analysis
Grant 9,952,281 - George , et al. April 24, 2
2018-04-24
Method and apparatus for a zero voltage processor sleep state
Grant 9,874,925 - Jahagirdar , et al. January 23, 2
2018-01-23
Method and apparatus for a zero voltage processor sleep state
Grant 9,870,044 - Jahagirdar , et al. January 16, 2
2018-01-16
Method and apparatus for a zero voltage processor sleep state
Grant 9,841,807 - Jahagirdar , et al. December 12, 2
2017-12-12
Method And Apparatus For A Zero Voltage Processor Sleep State
App 20170269672 - Jahagirdar; Sanjeev ;   et al.
2017-09-21
Migrating threads between asymmetric cores in a multiple core processor
Grant 9,727,388 - Jahagirdar , et al. August 8, 2
2017-08-08
System and method for initiating a reduced power mode for one or more functional blocks of a processor based on various types of mode request
Grant 9,690,353 - Moran , et al. June 27, 2
2017-06-27
Asymmetric Performance Multicore Architecture With Same Instruction Set Architecture
App 20170154012 - GEORGE; VARGHESE ;   et al.
2017-06-01
Common Platform For One-level Memory Architecture And Two-level Memory Architecture
App 20170147214 - Ray; Joydeep ;   et al.
2017-05-25
Managing shared resources between multiple processing devices
Grant 9,626,316 - Sodhi , et al. April 18, 2
2017-04-18
Common platform for one-level memory architecture and two-level memory architecture
Grant 9,600,413 - Ray , et al. March 21, 2
2017-03-21
Asymmetric performance multicore architecture with same instruction set architecture
Grant 9,569,278 - George , et al. February 14, 2
2017-02-14
Method And Apparatus For A Zero Voltage Processor Sleep State
App 20170017297 - Jahagirdar; Sanjeev ;   et al.
2017-01-19
Method, Apparatus, And System For Optimizing Frequency And Performance In A Multidie Microprocessor
App 20160140081 - Allarey; Jose P. ;   et al.
2016-05-19
Method And Apparatus For A Zero Voltage Processor Sleep State
App 20160098075 - Jahagirdar; Sanjeev ;   et al.
2016-04-07
Method And Apparatus For A Zero Voltage Processor Sleep State
App 20160091958 - Jahagirdar; Sanjeev ;   et al.
2016-03-31
Method, apparatus, and system for optimizing frequency and performance in a multidie microprocessor
Grant 9,280,172 - Allarey , et al. March 8, 2
2016-03-08
Technique for preserving cached information during a low power mode
Grant 9,274,592 - Jahagirdar , et al. March 1, 2
2016-03-01
System and method for measuring an integrated circuit age
Grant 9,255,967 - Ahmadi , et al. February 9, 2
2016-02-09
Method and apparatus for a zero voltage processor
Grant 9,235,258 - Jahagirdar , et al. January 12, 2
2016-01-12
Method and apparatus for a zero voltage processor
Grant 9,223,390 - Jahagirdar , et al. December 29, 2
2015-12-29
Method and apparatus for a zero voltage processor
Grant 9,223,389 - Jahagirdar , et al. December 29, 2
2015-12-29
Method and apparatus for a zero voltage processor sleep state
Grant 9,141,180 - Jahagirdar , et al. September 22, 2
2015-09-22
Method, apparatus, and system for energy efficiency and energy conservation including energy efficient processor thermal throttling using deep power down mode
Grant 9,122,464 - Sodhi , et al. September 1, 2
2015-09-01
Managing Shared Resources Between Multiple Processing Devices
App 20150186313 - Sodhi; Inder M. ;   et al.
2015-07-02
Common Platform For One-level Memory Architecture And Two-level Memory Architecture
App 20150178204 - Ray; Joydeep ;   et al.
2015-06-25
Method And Apparatus For A Zero Voltage Processor Sleep State
App 20150169043 - Jahagirdar; Sanjeev ;   et al.
2015-06-18
Method And Apparatus For A Zero Voltage Processor
App 20150058667 - Jahagirdar; Sanjeev ;   et al.
2015-02-26
Method And Apparatus For A Zero Voltage Processor
App 20150052377 - Jahagirdar; Sanjeev ;   et al.
2015-02-19
Clock Jitter And Power Supply Noise Analysis
App 20150008940 - George; Varghese ;   et al.
2015-01-08
Method And Apparatus For A Zero Voltage Processor
App 20150006938 - Jahagirdar; Sanjeev ;   et al.
2015-01-01
Method and apparatus for establishing safe processor operating points
Grant 8,892,861 - Fischer , et al. November 18, 2
2014-11-18
System And Method For Measuring An Integrated Circuit Age
App 20140306687 - Ahmadi; Rubil ;   et al.
2014-10-16
Method and apparatus for establishing safe processor operating points
Grant 8,850,178 - Fischer , et al. September 30, 2
2014-09-30
Platform Agnostic Power Management
App 20140281616 - Moran; Douglas ;   et al.
2014-09-18
Method, apparatus, and system for energy efficiency and energy conservation including improved processor core deep power down exit latency by using register secondary uninterrupted power supply
Grant 8,819,461 - Sodhi , et al. August 26, 2
2014-08-26
Method, apparatus, and system for optimizing frequency and performance in a multidie microprocessor
Grant 8,806,248 - Allarey , et al. August 12, 2
2014-08-12
Method, apparatus, and system for optimizing frequency and performance in a multidie microprocessor
Grant 8,769,323 - Allarey , et al. July 1, 2
2014-07-01
Technique for preserving cached information during a low power mode
Grant 8,732,399 - Jahagirdar , et al. May 20, 2
2014-05-20
Technique For Preserving Cached Information During A Low Power Mode
App 20140115369 - JAHAGIRDAR; SANJEEV ;   et al.
2014-04-24
Method and apparatus for powered off processor core mode
Grant 8,707,062 - Jahagirdar , et al. April 22, 2
2014-04-22
Method, Apparatus, And System For Optimizing Frequency And Performance In A Multidie Microprocessor
App 20140108849 - Allarey; Jose P. ;   et al.
2014-04-17
Method, Apparatus, And System For Optimizing Frequency And Performance In A Multidie Microprocessor
App 20140032950 - Allarey; Jose P. ;   et al.
2014-01-30
Migrating Threads Between Asymmetric Cores In A Multiple Core Processor
App 20140026146 - Jahagirdar; Sanjeev S. ;   et al.
2014-01-23
Method, apparatus, and system for optimizing frequency and performance in a multidie microprocessor
Grant 8,560,871 - Allarey , et al. October 15, 2
2013-10-15
Technique for preserving cached information during a low power mode
Grant 8,527,709 - Jahagirdar , et al. September 3, 2
2013-09-03
Method, apparatus and system to dynamically choose an optimum power state
Grant 8,516,285 - Jahagirdar , et al. August 20, 2
2013-08-20
Method And Apparatus For Establishing Safe Processor Operating Points
App 20130212370 - Fischer; Stephen A. ;   et al.
2013-08-15
Method, Apparatus, And System For Optimizing Frequency And Performance In A Multidie Microprocessor
App 20130185577 - ALLAREY; JOSE ;   et al.
2013-07-18
Technique For Preserving Cached Information During A Low Power Mode
App 20130179639 - JAHAGIRDAR; SANJEEV ;   et al.
2013-07-11
Method, Apparatus, And System For Optimizing Frequency And Performance In A Multidie Microprocessor
App 20130103928 - Allarey; Jose P. ;   et al.
2013-04-25
Method, apparatus, and system for optimizing frequency and performance in a multidie microprocessor
Grant 8,356,197 - Allarey , et al. January 15, 2
2013-01-15
Method And Apparatus For Establishing Safe Processor Operating Points
App 20130013909 - Fischer; Stephen Anthony ;   et al.
2013-01-10
Method And Apparatus For A Zero Voltage Processor Sleep State
App 20130013945 - Jahagirdar; Sanjeev ;   et al.
2013-01-10
Asymmetric Performance Multicore Architecture With Same Instruction Set Architecture
App 20120198207 - George; Varghese ;   et al.
2012-08-02
Method, Apparatus, And System For Energy Efficiency And Energy Conservation Including Energy Efficient Processor Thermal Throttling Using Deep Power Down Mode
App 20120166839 - Sodhi; Inder M. ;   et al.
2012-06-28
Method, Apparatus, And System For Energy Efficiency And Energy Conservation Including Improved Processor Core Deep Power Down Exit Latency By Using Register Secondary Uninterrupted Power Supply
App 20120166852 - Sodhi; Inder M. ;   et al.
2012-06-28
Method And Apparatus For Establishing Safe Processor Operating Points
App 20120137120 - FISCHER; Stephen Anthony ;   et al.
2012-05-31
Method and apparatus for establishing safe processor operating points
Grant 8,131,989 - Fischer , et al. March 6, 2
2012-03-06
System and method for error correction in cache units
Grant 8,065,555 - Maiyuran , et al. November 22, 2
2011-11-22
Method, apparatus, and system for optimizing frequency and performance in a multi-die microprocessor
Grant 8,032,772 - Allarey , et al. October 4, 2
2011-10-04
Method, Apparatus, And System For Optimizing Frequency And Performance In A Multidie Microprocessor
App 20110238973 - Allarey; Jose P. ;   et al.
2011-09-29
Method, Apparatus And System To Dynamically Choose An Optimum Power State
App 20110179295 - Jahagirdar; Sanjeev ;   et al.
2011-07-21
Method, apparatus and system to dynamically choose an aoptimum power state
Grant 7,917,787 - Jahagirdar , et al. March 29, 2
2011-03-29
Method and Apparatus for a Zero Voltage Processor Sleep State
App 20100146311 - Jahagirdar; Sanjeev ;   et al.
2010-06-10
Modular data transfer architecture
Grant 7,664,891 - George February 16, 2
2010-02-16
Method, apparatus, and system for increasing single core performance in a multi-core microprocessor
Grant 7,650,518 - Allarey , et al. January 19, 2
2010-01-19
Method, Apparatus And System To Dynamically Choose An Aoptimum Power State
App 20090199024 - Jahagirdar; Sanjeev ;   et al.
2009-08-06
Method, Apparatus, and System for optimizing Frequency and Performance in a Multi-Die Microprocessor
App 20090132844 - Allarey; Jose P ;   et al.
2009-05-21
Method, apparatus and system to dynamically choose an optimum power state
Grant 7,516,342 - Jahagirdar , et al. April 7, 2
2009-04-07
Technique for preserving cached information during a low power mode
App 20090024799 - Jahagirdar; Sanjeev ;   et al.
2009-01-22
Method and apparatus for establishing safe processor operating points
App 20080215875 - Fischer; Stephen Anthony ;   et al.
2008-09-04
Method and apparatus for establishing safe processor operating points in connection with a secure boot
Grant 7,370,189 - Fischer , et al. May 6, 2
2008-05-06
Method, apparatus, and system for increasing single core performance in a multi-core microprocessor
App 20080005592 - Allarey; Jose ;   et al.
2008-01-03
Method and apparatus for improved reliability and reduced power in a processor by automatic voltage control during processor idle states
Grant 7,299,370 - George , et al. November 20, 2
2007-11-20
Method, system, and apparatus for dynamically configuring the operating point utilized for thermal management of an integrated circuit
Grant 7,290,155 - George , et al. October 30, 2
2007-10-30
System and method for error correction in cache units
App 20070226589 - Maiyuran; Subramaniam ;   et al.
2007-09-27
Method, system, and apparatus for dynamically configuring the operating point utilized for thermal management of an integrated circuit
Grant 7,266,712 - George , et al. September 4, 2
2007-09-04
Method and apparatus for a zero voltage processor sleep state
App 20070157036 - Jahagirdar; Sanjeev ;   et al.
2007-07-05
Method, apparatus and system to dynamically choose an optimum power state
App 20070157042 - Jahagirdar; Sanjeev ;   et al.
2007-07-05
Processing of cacheable streaming data
App 20070150653 - Cooray; Niranjan ;   et al.
2007-06-28
Maintaining processor execution during frequency transitioning
Grant 7,210,054 - Jahagirdar , et al. April 24, 2
2007-04-24
Self-programmable bidirectional buffer circuit and method
Grant 7,205,793 - George April 17, 2
2007-04-17
Method, system, and apparatus for dynamically configuring the operating point utilized for thermal management of an integrated circuit
App 20070011477 - George; Varghese ;   et al.
2007-01-11
On-die real time leakage energy meter
App 20070001694 - Jahagirdar; Sanjeev ;   et al.
2007-01-04
Cache flushing
App 20070005900 - Horrigan; John W. ;   et al.
2007-01-04
Method and apparatus for accurate on-die temperature measurement
Grant 7,149,645 - Mangrulkar , et al. December 12, 2
2006-12-12
Claiming cycles on a processor bus in a system having a PCI to PCI bridge north of a memory controller
Grant 7,117,289 - George October 3, 2
2006-10-03
Self-programmable bidirectional buffer circuit and method
App 20060164123 - George; Varghese
2006-07-27
Method And Apparatus For Accurate On-die Temperature Measurement
App 20060161373 - Mangrulkar; Kedar ;   et al.
2006-07-20
Self-programmable bidirectional buffer circuit and method
Grant 7,061,274 - George June 13, 2
2006-06-13
Modular data transfer architecture
App 20060123154 - George; Varghese
2006-06-08
Method and apparatus for establishing safe processor operating points
App 20060069903 - Fischer; Stephen Anthony ;   et al.
2006-03-30
Trace reuse
App 20060036834 - Maiyuran; Subramaniam ;   et al.
2006-02-16
System and method for selecting a frequency and voltage combination from a table using a selection field and a read-only limit field
Grant 6,988,211 - Cline , et al. January 17, 2
2006-01-17
Selective interrupt delivery to multiple processors having independent operating systems
Grant 6,976,099 - George , et al. December 13, 2
2005-12-13
Method, system, and apparatus for dynamically configuring the operating point utilized for thermal management of an integrated circuit
App 20050091548 - George, Varghese ;   et al.
2005-04-28
Self-programmable bidirectional buffer circuit and method
App 20050062500 - George, Varghese
2005-03-24
Method and apparatus for improved reliability and reduced power in a processor by automatic voltage control during processor idle states
App 20040255176 - George, Varghese ;   et al.
2004-12-16
Virtual PCI device apparatus and method
Grant 6,823,418 - Langendorf , et al. November 23, 2
2004-11-23
Selective interrupt delivery to multiple processors having independent operating systems
App 20040225790 - George, Varghese ;   et al.
2004-11-11
Multiple operating frequencies in a processor
Grant 6,785,829 - George , et al. August 31, 2
2004-08-31
Method and apparatus for executing a long latency instruction to delay the restarting of an instruction fetch unit
Grant 6,779,122 - George , et al. August 17, 2
2004-08-17
Selective interrupt delivery to multiple processors having independent operating systems
Grant 6,772,241 - George , et al. August 3, 2
2004-08-03
Throttle of an integrated device
App 20040117677 - Jahagirdar, Sanjeev ;   et al.
2004-06-17
Method and apparatus for mapping address space of integrated programmable devices within host system memory
Grant 6,748,512 - Buch , et al. June 8, 2
2004-06-08
Internal processor buffering for implicit writebacks
Grant 6,745,298 - Spangler , et al. June 1, 2
2004-06-01
Cache flushing
App 20040073751 - Horrigan, John W. ;   et al.
2004-04-15
Claiming cycles on a processor bus in a system having a PCI to PCI bridge north of a memory controller
App 20040064602 - George, Varghese
2004-04-01
Dynamically changing the performance of devices in a computer platform
Grant 6,704,877 - Cline , et al. March 9, 2
2004-03-09
Maintaining processor execution during frequency transitioning
App 20030237012 - Jahagirdar, Sanjeev ;   et al.
2003-12-25
Method and apparatus for processor bypass path to system memory
Grant 6,636,939 - George October 21, 2
2003-10-21
Virtual PCI device apparatus and method
App 20030005207 - Langendorf, Brian K. ;   et al.
2003-01-02
Deferred correction of a single bit storage error in a cache tag array
Grant 6,502,218 - George , et al. December 31, 2
2002-12-31
Computer with communicating separable computing display subsystem
App 20020140690 - Gamsaragan, Edward V. ;   et al.
2002-10-03
Microcode-assisted control of current surges in microprocessors
App 20020091912 - George, Varghese ;   et al.
2002-07-11
Processor performance state control
App 20020087896 - Cline, Leslie E. ;   et al.
2002-07-04
Dynamically changing the performance of devices in a computer platform
App 20020087897 - Cline, Leslie E. ;   et al.
2002-07-04
Method And Apparatus For Improving System Performance In Multiprocessor Systems
App 20020078306 - GEORGE, VARGHESE
2002-06-20
Integrated co-processor configured as a PCI device
App 20020073264 - George, Varghese ;   et al.
2002-06-13
Method and apparatus for mapping address space of integrated programmable devices within host system memory
App 20020073296 - Buch, Deep ;   et al.
2002-06-13
Company Registrations

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed