loadpatents
name:-0.094918966293335
name:-0.099053859710693
name:-0.11406397819519
Frougier; Julien Patent Filings

Frougier; Julien

Patent Applications and Registrations

Patent applications and USPTO patent grants for Frougier; Julien.The latest application filed is for "co-integration of gate-all-around fet, finfet and passive devices on bulk substrate".

Company Profile
125.99.100
  • Frougier; Julien - Albany NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Co-integration Of Gate-all-around Fet, Finfet And Passive Devices On Bulk Substrate
App 20220310590 - Frougier; Julien ;   et al.
2022-09-29
Gate-all-around Devices With Isolated And Non-isolated Epitaxy Regions For Strain Engineering
App 20220310602 - Greene; Andrew M. ;   et al.
2022-09-29
Substrate Thinning For A Backside Power Distribution Network
App 20220301878 - Xie; Ruilong ;   et al.
2022-09-22
Scalable heat sink and magnetic shielding for high density MRAM arrays
Grant 11,444,238 - Frougier , et al. September 13, 2
2022-09-13
True random number generation and physically unclonable functions using voltage control of magnetic anisotropy effects in STT-MRAM
Grant 11,435,982 - Dixit , et al. September 6, 2
2022-09-06
Wrap-around contacts including localized metal silicide
Grant 11,424,367 - Miller , et al. August 23, 2
2022-08-23
Magnetoresistive random-access memory device structure
Grant 11,411,048 - Wu , et al. August 9, 2
2022-08-09
Variable Sheet Forkfet Device
App 20220231020 - Frougier; Julien ;   et al.
2022-07-21
Nanosheet Transistors With Wrap Around Contact
App 20220208981 - Frougier; Julien ;   et al.
2022-06-30
Reducing parasitic bottom electrode resistance of embedded MRAM
Grant 11,374,167 - Frougier , et al. June 28, 2
2022-06-28
Wrap-around Contacts Including Localized Metal Silicide
App 20220190161 - Miller; Eric ;   et al.
2022-06-16
Epitaxial semiconductor material regions for transistor devices and methods of forming same
Grant 11,362,177 - Malinowski , et al. June 14, 2
2022-06-14
Fin Stack Including Tensile-strained And Compressively Strained Fin Portions
App 20220157816 - Cheng; Kangguo ;   et al.
2022-05-19
Mtj-based Analog Memory Device
App 20220130441 - Houssameddine; Dimitri ;   et al.
2022-04-28
Structures and SRAM bit cells integrating complementary field-effect transistors
Grant 11,309,319 - Mann , et al. April 19, 2
2022-04-19
Semiconductor FET device with bottom isolation and high-.kappa. first
Grant 11,295,988 - Xie , et al. April 5, 2
2022-04-05
Enhanced bottom dielectric isolation in gate-all-around devices
Grant 11,282,961 - Frougier , et al. March 22, 2
2022-03-22
Stacked spin-orbit-torque magnetoresistive random-access memory
Grant 11,251,362 - Wu , et al. February 15, 2
2022-02-15
Nanosheet Transistor With Self-aligned Dielectric Pillar
App 20220028729 - Xie; Ruilong ;   et al.
2022-01-27
Partial Wrap Around Top Contact
App 20220020634 - Xie; Ruilong ;   et al.
2022-01-20
Formation of contacts for semiconductor devices
Grant 11,227,801 - Xie , et al. January 18, 2
2022-01-18
Augmented Semiconductor Lasers With Spontaneous Emissions Blockage
App 20220013986 - FROUGIER; Julien ;   et al.
2022-01-13
Semiconductor device with metal structure under an active layer
Grant 11,217,533 - Soss , et al. January 4, 2
2022-01-04
Forming Nanosheet Transistor Using Sacrificial Spacer And Inner Spacers
App 20210399114 - Cheng; Kangguo ;   et al.
2021-12-23
Epitaxial semiconductor material regions for transistor devices and methods of forming same
Grant 11,205,699 - Malinowski , et al. December 21, 2
2021-12-21
Semiconductor FET Device with Bottom Isolation and High-k First
App 20210391222 - Xie; Ruilong ;   et al.
2021-12-16
Method, apparatus, and system for fin-over-nanosheet complementary field-effect-transistor
Grant 11,201,152 - Xie , et al. December 14, 2
2021-12-14
Nanosheet transistor with self-aligned dielectric pillar
Grant 11,195,746 - Xie , et al. December 7, 2
2021-12-07
Scalable Heat Sink And Magnetic Shielding For High Density Mram Arrays
App 20210359197 - FROUGIER; JULIEN ;   et al.
2021-11-18
Augmented semiconductor lasers with spontaneous emissions blockage
Grant 11,177,632 - Frougier , et al. November 16, 2
2021-11-16
Planarization Controllability For Interconnect Structures
App 20210351064 - Xie; Ruilong ;   et al.
2021-11-11
Via Interconnects For A Magnetoresistive Random-access Memory Device
App 20210351340 - Frougier; Julien ;   et al.
2021-11-11
Planarization controllability for interconnect structures
Grant 11,171,044 - Xie , et al. November 9, 2
2021-11-09
Fin-type field-effect transistors over one or more buried polycrystalline layers
Grant 11,164,867 - Adusumilli , et al. November 2, 2
2021-11-02
Methods of forming a conductive contact structure to an embedded memory device on an IC product and a corresponding IC product
Grant 11,158,574 - LiCausi , et al. October 26, 2
2021-10-26
Enhanced Bottom Dielectric Isolation In Gate-all-around Devices
App 20210305420 - FROUGIER; Julien ;   et al.
2021-09-30
Vertical Transport Field-effect Transistor Including Replacement Gate
App 20210296494 - Xie; Ruilong ;   et al.
2021-09-23
Integrating Embedded Memory On Cmos Logic Using Thin Film Transistors
App 20210296396 - Wu; Heng ;   et al.
2021-09-23
Formation Of Contacts For Semiconductor Devices
App 20210296178 - Xie; Ruilong ;   et al.
2021-09-23
Augmented Semiconductor Lasers With Spontaneous Emissions Blockage
App 20210288468 - FROUGIER; Julien ;   et al.
2021-09-16
Forming nanosheet transistor using sacrificial spacer and inner spacers
Grant 11,121,233 - Cheng , et al. September 14, 2
2021-09-14
Methods of forming a conductive contact structure to an embedded memory device on an IC product and a corresponding IC product
Grant 11,121,087 - LiCausi , et al. September 14, 2
2021-09-14
Reducing Parasitic Bottom Electrode Resistance Of Embedded Mram
App 20210280776 - Frougier; Julien ;   et al.
2021-09-09
Integration of split gate metal-oxide-nitride-oxide-semiconductor memory with vertical FET
Grant 11,107,827 - Xie , et al. August 31, 2
2021-08-31
Magnetoresistive Random-access Memory Device Structure
App 20210265422 - Wu; Heng ;   et al.
2021-08-26
Nanosheet field effect transistor with spacers between sheets
Grant 11,101,348 - Xie , et al. August 24, 2
2021-08-24
Stacked Spin-orbit-torque Magnetoresistive Random-access Memory
App 20210257543 - Wu; Heng ;   et al.
2021-08-19
Gate-all-around field effect transistor having stacked U shaped channels configured to improve the effective width of the transistor
Grant 11,094,784 - Cheng , et al. August 17, 2
2021-08-17
Nanosheet device with tall suspension and tight contacted gate poly-pitch
Grant 11,094,803 - Xie , et al. August 17, 2
2021-08-17
Air spacer structures
Grant 11,094,794 - Frougier , et al. August 17, 2
2021-08-17
Semiconductor Device With Metal Structure Under An Active Layer
App 20210249352 - SOSS; STEVEN ROBERT ;   et al.
2021-08-12
True Random Number Generation And Physically Unclonable Functions Using Voltage Control Of Magnetic Anisotropy Effects In Stt-mram
App 20210240445 - Dixit; Hemant M. ;   et al.
2021-08-05
Nanosheet Device With Tall Suspension And Tight Contacted Gate Poly-pitch
App 20210234018 - Xie; Ruilong ;   et al.
2021-07-29
Novel Epitaxial Semiconductor Material Regions For Transistor Devices And Methods Of Forming Same
App 20210233999 - Malinowski; Arkadiusz ;   et al.
2021-07-29
Field-effect transistors with channel regions that include a two-dimensional material on a mandrel
Grant 11,069,819 - Frougier July 20, 2
2021-07-20
Steep-switch vertical field effect transistor
Grant 11,069,744 - Chanemougame , et al. July 20, 2
2021-07-20
Nanosheet Transistor With Self-aligned Dielectric Pillar
App 20210217654 - Xie; Ruilong ;   et al.
2021-07-15
Transistor comprising a matrix of nanowires and methods of making such a transistor
Grant 11,049,934 - Razavieh , et al. June 29, 2
2021-06-29
Methods Of Forming A Conductive Contact Structure To An Embedded Memory Device On An Ic Product And A Corresponding Ic Product
App 20210193584 - LiCausi; Nicholas ;   et al.
2021-06-24
Methods Of Forming A Conductive Contact Structure To An Embedded Memory Device On An Ic Product And A Corresponding Ic Product
App 20210193573 - LiCausi; Nicholas ;   et al.
2021-06-24
Integration of air spacer with self-aligned contact in transistor
Grant 11,043,411 - Park , et al. June 22, 2
2021-06-22
Transistor having airgap spacer around gate structure
Grant 11,011,638 - Xie , et al. May 18, 2
2021-05-18
Structure And Method For Random Code Generation
App 20210141610 - Holt; Judson R. ;   et al.
2021-05-13
Field-effect Transistors With Channel Regions That Include A Two-dimensional Material On A Mandrel
App 20210135015 - Frougier; Julien
2021-05-06
Steep-switch field effect transistor with integrated bi-stable resistive system
Grant 10,991,808 - Frougier , et al. April 27, 2
2021-04-27
Novel Epitaxial Semiconductor Material Regions For Transistor Devices And Methods Of Forming Same
App 20210118993 - Malinowski; Arkadiusz ;   et al.
2021-04-22
Steep-switch Field Effect Transistor With Integrated Bi-stable Resistive System
App 20210111225 - Frougier; Julien ;   et al.
2021-04-15
Air Spacer Structures
App 20210098591 - FROUGIER; Julien ;   et al.
2021-04-01
Steep-switch field effect transistor with integrated bi-stable resistive system
Grant 10,964,750 - Frougier , et al. March 30, 2
2021-03-30
Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
Grant 10,957,799 - Xie , et al. March 23, 2
2021-03-23
Transistor Comprising A Matrix Of Nanowires And Methods Of Making Such A Transistor
App 20210083049 - Razavieh; Ali ;   et al.
2021-03-18
Asymmetric gate cut isolation for SRAM
Grant 10,950,610 - Paul , et al. March 16, 2
2021-03-16
Transistor Having Airgap Spacer
App 20210066489 - Xie; Ruilong ;   et al.
2021-03-04
Wrap-around contact for vertical field effect transistors
Grant 10,923,590 - Cheng , et al. February 16, 2
2021-02-16
Gate-all-around Field Effect Transistors With Robust Inner Spacers And Methods
App 20210043727 - Frougier; Julien ;   et al.
2021-02-11
Fin-type Field-effect Transistors Over One Or More Buried Polycrystalline Layers
App 20210043624 - Adusumilli; Siva P. ;   et al.
2021-02-11
Neuromorphic circuit structure and method to form same
Grant 10,909,443 - Nowak , et al. February 2, 2
2021-02-02
Gate-all-around field effect transistors with robust inner spacers and methods
Grant 10,903,317 - Frougier , et al. January 26, 2
2021-01-26
Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
Grant 10,903,369 - Xie , et al. January 26, 2
2021-01-26
Formation of dielectric layer as etch-stop for source and drain epitaxy disconnection
Grant 10,903,315 - Loubet , et al. January 26, 2
2021-01-26
Positioning air-gap spacers in a transistor for improved control of parasitic capacitance
Grant 10,903,331 - Loubet , et al. January 26, 2
2021-01-26
Asymmetric Gate Cut Isolation For Sram
App 20210020644 - Paul; Bipul C. ;   et al.
2021-01-21
Method of forming air-gap spacers and gate contact over active region and the resulting device
Grant 10,886,378 - Xie , et al. January 5, 2
2021-01-05
Steep-switch field effect transistor with integrated bi-stable resistive system
Grant 10,872,962 - Frougier , et al. December 22, 2
2020-12-22
Transistor Having Strain-inducing Anchors And A Strain-enhancing Suspended Channel
App 20200365467 - Cheng; Kangguo ;   et al.
2020-11-19
Structures And Sram Bit Cells Integrating Complementary Field-effect Transistors
App 20200365601 - Mann; Randy W. ;   et al.
2020-11-19
Structures and SRAM bit cells with a buried cross-couple interconnect
Grant 10,840,146 - Paul , et al. November 17, 2
2020-11-17
Gate-all-around Field Effect Transistors With Inner Spacers And Methods
App 20200357911 - Frougier; Julien ;   et al.
2020-11-12
Integrating nanosheet transistors, on-chip embedded memory, and extended-gate transistors on the same substrate
Grant 10,833,191 - Frougier , et al. November 10, 2
2020-11-10
Forming a reliable wrap-around contact without source/drain sacrificial regions
Grant 10,832,954 - Frougier , et al. November 10, 2
2020-11-10
Fin-type field-effect transistors including a two-dimensional material
Grant 10,818,803 - Frougier , et al. October 27, 2
2020-10-27
Nanosheet field-effect transistors formed with sacrificial spacers
Grant 10,818,792 - Frougier , et al. October 27, 2
2020-10-27
Structures and SRAM bit cells integrating complementary field-effect transistors
Grant 10,818,674 - Mann , et al. October 27, 2
2020-10-27
Method of forming wrap-around-contact and the resulting device
Grant 10,804,398 - Frougier , et al. October 13, 2
2020-10-13
Gate-all-around Field Effect Transistor Having Stacked U Shaped Channels Configured To Improve The Effective Width Of The Transistor
App 20200321434 - Cheng; Kangguo ;   et al.
2020-10-08
Forming A Reliable Wrap-around Contact Without Source/drain Sacrifical Regions
App 20200312980 - Frougier; Julien ;   et al.
2020-10-01
Positioning Air-gap Spacers In A Transistor For Improved Control Of Parasitic Capacitance
App 20200312977 - Loubet; Nicolas ;   et al.
2020-10-01
Contact structures
Grant 10,790,376 - Xie , et al. September 29, 2
2020-09-29
Wrap-Around Contact for Vertical Field Effect Transistors
App 20200303543 - Cheng; Kangguo ;   et al.
2020-09-24
Vertically stacked complementary-FET device with independent gate control
Grant 10,784,171 - Frougier , et al. Sept
2020-09-22
Multi-level Ferroelectric Memory Cell
App 20200295017 - FROUGIER; Julien ;   et al.
2020-09-17
Integrating Nanosheet Transistors, On-chip Embedded Memory, And Extended-gate Transistors On The Same Substrate
App 20200287046 - Frougier; Julien ;   et al.
2020-09-10
Structures And Sram Bit Cells Integrating Complementary Field-effect Transistors
App 20200286900 - Mann; Randy W. ;   et al.
2020-09-10
Unique gate cap and gate cap spacer structures for devices on integrated circuit products
Grant 10,770,566 - Frougier , et al. Sep
2020-09-08
Integration Of Split Gate Metal-oxide-nitride-oxide-semiconductor Memory With Vertical Fet
App 20200279858 - XIE; RUILONG ;   et al.
2020-09-03
Transistor Channel Having Vertically Stacked Nanosheets Coupled By Fin-shaped Bridge Regions
App 20200274000 - XIE; RUILONG ;   et al.
2020-08-27
Neuromorphic Circuit Structure And Method To Form Same
App 20200272880 - Nowak; Edward J. ;   et al.
2020-08-27
Transistor Channel Having Vertically Stacked Nanosheets Coupled By Fin-shaped Bridge Regions
App 20200273979 - XIE; RUILONG ;   et al.
2020-08-27
Sub-thermal switching slope vertical field effect transistor with dual-gate feedback loop mechanism
Grant 10,756,203 - Frougier , et al. A
2020-08-25
Sub-thermal switching slope vertical field effect transistor with dual-gate feedback loop mechanism
Grant 10,741,675 - Frougier , et al. A
2020-08-11
Formation of dielectric layer as etch-stop for source and drain epitaxy disconnection
Grant 10,741,639 - Loubet , et al. A
2020-08-11
Gate-all-around transistor with spacer support and methods of forming same
Grant 10,734,525 - Xie , et al.
2020-08-04
Nanosheet field-effect transistor with substrate isolation
Grant 10,714,567 - Frougier , et al.
2020-07-14
Method Of Forming Air-gap Spacers And Gate Contact Over Active Region And The Resulting Device
App 20200212192 - XIE; Ruilong ;   et al.
2020-07-02
Gate-all-around field effect transistors with air-gap inner spacers and methods
Grant 10,692,991 - Chanemougame , et al.
2020-06-23
Airgap spacers formed in conjunction with a late gate cut
Grant 10,679,894 - Frougier , et al.
2020-06-09
Insulative structure with diffusion break integral with isolation layer and methods to form same
Grant 10,665,669 - Xie , et al.
2020-05-26
Non-self aligned gate contacts formed over the active region of a transistor
Grant 10,665,692 - Xie , et al.
2020-05-26
Integration Of Air Spacer With Self-aligned Contact In Transistor
App 20200161169 - Park; Chanro ;   et al.
2020-05-21
Nanosheet Field-effect Transistor With Substrate Isolation
App 20200152734 - Frougier; Julien ;   et al.
2020-05-14
Airgap Spacers Formed In Conjunction With A Late Gate Cut
App 20200152504 - Frougier; Julien ;   et al.
2020-05-14
Inner spacer formation in a nanosheet field-effect transistor
Grant 10,651,291 - Frougier , et al.
2020-05-12
Steep-switch Field Effect Transistor With Integrated Bi-stable Resistive System
App 20200144385 - Frougier; Julien ;   et al.
2020-05-07
Fin-type field effect transistors with uniform channel lengths and below-channel isolation on bulk semiconductor substrates and methods
Grant 10,644,157 - Frougier , et al.
2020-05-05
Non-self Aligned Gate Contacts Formed Over The Active Region Of A Transistor
App 20200135885 - Xie; Ruilong ;   et al.
2020-04-30
Hybrid dual damascene structures with enlarged contacts
Grant 10,629,516 - Chanemougame , et al.
2020-04-21
Method Of Forming Wrap-around-contact And The Resulting Device
App 20200119180 - FROUGIER; Julien ;   et al.
2020-04-16
Formation Of Dielectric Layer As Etch-stop For Source And Drain Epitaxy Disconnection
App 20200105868 - LOUBET; Nicolas ;   et al.
2020-04-02
Formation Of Dielectric Layer As Etch-stop For Source And Drain Epitaxy Disconnection
App 20200105869 - LOUBET; Nicolas ;   et al.
2020-04-02
Field-effect transistors including multiple gate lengths
Grant 10,608,082 - Frougier , et al.
2020-03-31
Steep-switch Vertical Field Effect Transistor
App 20200091237 - Chanemougame; Daniel ;   et al.
2020-03-19
Integration Of Air Spacer With Self-aligned Contact In Transistor
App 20200083101 - Park; Chanro ;   et al.
2020-03-12
Nanosheet Fet With Box Isolation On Substrate
App 20200083384 - Frougier; Julien ;   et al.
2020-03-12
Gate-all-around Field Effect Transistors With Air-gap Inner Spacers And Methods
App 20200083352 - Chanemougame; Daniel ;   et al.
2020-03-12
Nanosheet FET device with epitaxial nucleation
Grant 10,586,856 - Loubet , et al.
2020-03-10
Hybrid Dual Damascene Structures With Enlarged Contacts
App 20200075456 - Chanemougame; Daniel ;   et al.
2020-03-05
Integration of air spacer with self-aligned contact in transistor
Grant 10,580,692 - Park , et al.
2020-03-03
Nanosheet Field-effect Transistors Formed With Sacrificial Spacers
App 20200066894 - Frougier; Julien ;   et al.
2020-02-27
Nanosheet FET with box isolation on substrate
Grant 10,573,755 - Frougier , et al. Feb
2020-02-25
Contact Structures
App 20200058757 - XIE; Ruilong ;   et al.
2020-02-20
Steep-switch field effect transistor with integrated bi-stable resistive system
Grant 10,566,436 - Frougier , et al. Feb
2020-02-18
Unique Gate Cap And Gate Cap Spacer Structures For Devices On Integrated Circuit Products
App 20200052088 - Frougier; Julien ;   et al.
2020-02-13
Wrap-all-around contact for nanosheet-FET and method of forming same
Grant 10,559,656 - Bourjot , et al. Feb
2020-02-11
Fin-type Field Effect Transistors With Uniform Channel Lengths And Below-channel Isolation On Bulk Semiconductor Substrates And
App 20200044069 - Frougier; Julien ;   et al.
2020-02-06
Sub-thermal Switching Slope Vertical Field Effect Transistor With Dual-gate Feedback Loop Mechanism
App 20200044057 - Frougier; Julien ;   et al.
2020-02-06
Sub-thermal Switching Slope Vertical Field Effect Transistor With Dual-gate Feedback Loop Mechanism
App 20200044058 - Frougier; Julien ;   et al.
2020-02-06
Sub-thermal switching slope vertical field effect transistor with dual-gate feedback loop mechanism
Grant 10,553,705 - Frougier , et al. Fe
2020-02-04
Vertically Stacked Complementary-fet Device With Independent Gate Control
App 20200035569 - Frougier; Julien ;   et al.
2020-01-30
Nanosheet Field Effect Transistor With Spacers Between Sheets
App 20200035786 - Xie; Ruilong ;   et al.
2020-01-30
Sub-thermal switching slope vertical field effect transistor with dual-gate feedback loop mechanism
Grant 10,546,945 - Frougier , et al. Ja
2020-01-28
Steep-switch vertical field effect transistor
Grant 10,541,272 - Chanemougame , et al. Ja
2020-01-21
Forming self-aligned gate and source/drain contacts using sacrificial gate cap spacer and resulting devices
Grant 10,529,826 - Frougier , et al. J
2020-01-07
Nanosheet Fet Device With Epitaxial Nucleation
App 20190386113 - Loubet; Nicolas ;   et al.
2019-12-19
Vertically stacked complementary-FET device with independent gate control
Grant 10,510,622 - Frougier , et al. Dec
2019-12-17
Work function metal patterning for N-P space between active nanostructures
Grant 10,510,620 - Chanemougame , et al. Dec
2019-12-17
Forming Nanosheet Transistor With Inner Spacers At Highly Scaled Gate Pitch
App 20190378915 - Frougier; Julien ;   et al.
2019-12-12
Field-effect Transistors Including Multiple Gate Lengths
App 20190371887 - Frougier; Julien ;   et al.
2019-12-05
Method of forming gate-all-around (GAA) FinFET and GAA FinFET formed thereby
Grant 10,475,899 - Xie , et al. Nov
2019-11-12
Wrap-all-around Contact For Nanosheet-fet And Method Of Forming Same
App 20190341448 - Bourjot; Emilie M.S. ;   et al.
2019-11-07
Method, Apparatus, And System For Fin-over-nanosheet Complementary Field-effect-transistor
App 20190326286 - Xie; Ruilong ;   et al.
2019-10-24
Method of forming integrated circuit with gate-all-around field effect transistor and the resulting structure
Grant 10,431,663 - Xie , et al. O
2019-10-01
Forming nanosheet transistor using sacrificial spacer and inner spacers
Grant 10,424,651 - Cheng , et al. Sept
2019-09-24
Vertical Field Effect Transistors Incorporating U-shaped Semiconductor Bodies And Methods
App 20190287863 - Xie; Ruilong ;   et al.
2019-09-19
Gate-all-around Transistor With Spacer Support And Methods Of Forming Same
App 20190288117 - Xie; Ruilong ;   et al.
2019-09-19
Vertical field effect transistors incorporating U-shaped semiconductor bodies and methods
Grant 10,418,484 - Xie , et al. Sept
2019-09-17
Sub-thermal Switching Slope Vertical Field Effect Transistor With Dual-gate Feedback Loop Mechanism
App 20190259857 - Frougier; Julien ;   et al.
2019-08-22
Sub-thermal Switching Slope Vertical Field Effect Transistor With Dual-gate Feedback Loop Mechanism
App 20190259858 - Frougier; Julien ;   et al.
2019-08-22
Sub-thermal Switching Slope Vertical Field Effect Transistor With Dual-gate Feedback Loop Mechanism
App 20190259856 - Frougier; Julien ;   et al.
2019-08-22
Nanosheet field-effect transistors including a two-dimensional semiconducting material
Grant 10,388,732 - Frougier , et al. A
2019-08-20
Sub-thermal switching slope vertical field effect transistor with dual-gate feedback loop mechanism
Grant 10,388,760 - Frougier , et al. A
2019-08-20
Steep-switch Field Effect Transistor With Integrated Bi-stable Resistive System
App 20190252507 - Frougier; Julien ;   et al.
2019-08-15
Steep-switch Field Effect Transistor With Integrated Bi-stable Resistive System
App 20190252508 - Frougier; Julien ;   et al.
2019-08-15
Steep-switch Field Effect Transistor With Integrated Bi-stable Resistive System
App 20190252465 - Frougier; Julien ;   et al.
2019-08-15
Forming Nanosheet Transistor Using Sacrificial Spacer And Inner Spacers
App 20190252516 - Cheng; Kangguo ;   et al.
2019-08-15
Transistors with H-shaped or U-shaped channels and method for forming the same
Grant 10,381,459 - Xie , et al. A
2019-08-13
Forming Nanosheet Transistor Using Sacrificial Spacer And Inner Spacers
App 20190237559 - Cheng; Kangguo ;   et al.
2019-08-01
Transistors With H-shaped Or U-shaped Channels And Method For Forming The Same
App 20190214482 - Xie; Ruilong ;   et al.
2019-07-11
Method Of Forming Integrated Circuit With Gate-all-around Field Effect Transistor And The Resulting Structure
App 20190214473 - Xie; Ruilong ;   et al.
2019-07-11
Hybrid gate-all-around (GAA) field effect transistor (FET) structure and method of forming
Grant 10,332,803 - Xie , et al.
2019-06-25
Integrated circuit structure, gate all-around integrated circuit structure and methods of forming same
Grant 10,290,549 - Xie , et al.
2019-05-14
Wrap-around contacts formed with multiple silicide layers
Grant 10,276,442 - Xie , et al.
2019-04-30
Method Of Forming Gate-all-around (gaa) Finfet And Gaa Finfet Formed Thereby
App 20190123160 - Xie; Ruilong ;   et al.
2019-04-25
Stacked nanosheet field-effect transistor with air gap spacers
Grant 10,269,983 - Frougier , et al.
2019-04-23
Steep-switch Vertical Field Effect Transistor
App 20190109177 - Chanemougame; Daniel ;   et al.
2019-04-11
Insulated epitaxial structures in nanosheet complementary field effect transistors
Grant 10,256,158 - Frougier , et al.
2019-04-09
Steep-switch field effect transistor with integrated bi-stable resistive system
Grant 10,256,316 - Frougier , et al.
2019-04-09
Complementary FETs with wrap around contacts and methods of forming same
Grant 10,236,292 - Frougier , et al.
2019-03-19
Methods, apparatus and system for forming wrap-around contact with dual silicide
Grant 10,236,218 - Xie , et al.
2019-03-19
Vertical FET with self-aligned source/drain regions and gate length based on channel epitaxial growth process
Grant 10,236,379 - Bentley , et al.
2019-03-19
Integrated Circuit Structure, Gate All-around Integrated Circuit Structure And Methods Of Forming Same
App 20190074224 - Xie; Ruilong ;   et al.
2019-03-07
Inner Spacer Formation In A Nanosheet Field-effect Transistor
App 20190058052 - Frougier; Julien ;   et al.
2019-02-21
Complementary FETs with wrap around contacts and method of forming same
Grant 10,192,867 - Frougier , et al. Ja
2019-01-29
Negative-capacitance steep-switch field effect transistor with integrated bi-stable resistive system
Grant 10,170,520 - Frougier , et al. J
2019-01-01
Method of forming gate-all-around (GAA) FinFET and GAA FinFET formed thereby
Grant 10,164,041 - Xie , et al. Dec
2018-12-25
Stacked Nanosheet Field-effect Transistor With Airgap Spacers
App 20180331232 - Frougier; Julien ;   et al.
2018-11-15
Vertical Fet With Self-aligned Source/drain Regions And Gate Length Based On Channel Epitaxial Growth Process
App 20180331213 - Bentley; Steven ;   et al.
2018-11-15
Inner spacer formation for nanosheet field-effect transistors with tall suspensions
Grant 10,014,390 - Bouche , et al. July 3, 2
2018-07-03
Methods of forming a nano-sheet transistor device with a thicker gate stack and the resulting device
Grant 9,991,352 - Frougier , et al. June 5, 2
2018-06-05
Methods of forming nanosheet transistor with dielectric isolation of source-drain regions and related structure
Grant 9,947,804 - Frougier , et al. April 17, 2
2018-04-17

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed