loadpatents
name:-0.052789926528931
name:-0.046308040618896
name:-0.00098204612731934
Floyd; Michael S. Patent Filings

Floyd; Michael S.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Floyd; Michael S..The latest application filed is for "multi-core dynamic frequency control system".

Company Profile
0.57.54
  • Floyd; Michael S. - Cedar Park TX
  • Floyd; Michael S. - Austin TX US
  • Floyd; Michael S. - Cedar Parker TX
  • Floyd; Michael S. - Leander TX
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Multi-core dynamic frequency control system
Grant 10,156,882 - Campisano , et al. Dec
2018-12-18
Multi-core dynamic frequency control system
Grant 10,152,107 - Campisano , et al. Dec
2018-12-11
Virtual power management multiprocessor system simulation
Grant 10,002,212 - Brock , et al. June 19, 2
2018-06-19
Deterministic current based frequency optimization of processor chip
Grant 9,952,651 - Allen-Ware , et al. April 24, 2
2018-04-24
Deterministic current based frequency optimization of processor chip
Grant 9,778,726 - Allen-Ware , et al. October 3, 2
2017-10-03
Multi-Core Dynamic Frequency Control System
App 20170102732 - Campisano; Francesco A. ;   et al.
2017-04-13
Multi-Core Dynamic Frequency Control System
App 20170102761 - Campisano; Francesco A. ;   et al.
2017-04-13
Virtual Power Management Multiprocessor System Simulation
App 20170091357 - Brock; Bishop ;   et al.
2017-03-30
Virtual power management multiprocessor system simulation
Grant 9,563,724 - Brock , et al. February 7, 2
2017-02-07
Deterministic Current Based Frequency Optimization Of Processor Chip
App 20170031417 - ALLEN-WARE; Malcolm S. ;   et al.
2017-02-02
Deterministic Current Based Frequency Optimization Of Processor Chip
App 20170031415 - ALLEN-WARE; Malcolm S. ;   et al.
2017-02-02
Dynamic adjustment of operational parameters to compensate for sensor based measurements of circuit degradation
Grant 9,465,373 - Allen-Ware , et al. October 11, 2
2016-10-11
Dynamic adjustment of operational parameters to compensate for sensor based measurements of circuit degradation
Grant 9,459,599 - Allen-Ware , et al. October 4, 2
2016-10-04
Processor noise mitigation using differential crictical path monitoring
Grant 9,395,782 - Berry, Jr. , et al. July 19, 2
2016-07-19
Power management for multi-core processing systems
Grant 9,354,943 - Bose , et al. May 31, 2
2016-05-31
Processor noise mitigation using differential critical path monitoring
Grant 9,164,563 - Berry, Jr. , et al. October 20, 2
2015-10-20
Reducing power grid noise in a processor while minimizing performance loss
Grant 9,146,772 - Eisen , et al. September 29, 2
2015-09-29
Power Management For Multi-core Processing Systems
App 20150268710 - Bose; Pradip ;   et al.
2015-09-24
Reducing power grid noise in a processor while minimizing performance loss
Grant 9,141,421 - Eisen , et al. September 22, 2
2015-09-22
Characterization and functional test in a processor or system utilizing critical path monitor to dynamically manage operational timing margin
Grant 9,117,011 - Berry, Jr. , et al. August 25, 2
2015-08-25
Minimizing power consumption for fixed-frequency processing unit operation
Grant 9,052,905 - Allen-Ware , et al. June 9, 2
2015-06-09
Virtual Power Management Multiprocessor System Simulation
App 20150095010 - Brock; Bishop ;   et al.
2015-04-02
Virtual Power Management Multiprocessor System Simulation
App 20150095009 - Brock; Bishop ;   et al.
2015-04-02
Dynamic Adjustment of Operational Parameters to Compensate for Sensor Based Measurements of Circuit Degradation
App 20150081044 - Allen-Ware; Malcolm S. ;   et al.
2015-03-19
Dynamic Adjustment of Operational Parameters to Compensate for Sensor Based Measurements of Circuit Degradation
App 20150081039 - Allen-Ware; Malcolm S. ;   et al.
2015-03-19
Minimizing power consumption for fixed-frequency processing unit operation
Grant 8,943,341 - Allen-Ware , et al. January 27, 2
2015-01-27
Effect translation and assessment among microarchitecture components
Grant 8,874,893 - Abali , et al. October 28, 2
2014-10-28
Characterization And Functional Test In A Processor Or System Utilizing Critical Path Monitor To Dynamically Manage Operational Timing Margin
App 20140237302 - Berry, JR.; Robert W. ;   et al.
2014-08-21
Reducing Power Grid Noise In A Processor While Minimizing Performance Loss
App 20140157277 - Eisen; Lee E. ;   et al.
2014-06-05
Reducing Power Grid Noise In A Processor While Minimizing Performance Loss
App 20140157033 - Eisen; Lee E. ;   et al.
2014-06-05
Processor Noise Mitigation Using Differential Crictical Path Monitoring
App 20140143596 - Berry, JR.; Robert W. ;   et al.
2014-05-22
Method and apparatus for supporting memory usage throttling
Grant 8,650,367 - Floyd , et al. February 11, 2
2014-02-11
Non-disruptive hardware change
Grant 8,650,431 - Floyd , et al. February 11, 2
2014-02-11
Method and apparatus for supporting memory usage throttling
Grant 8,645,640 - Floyd , et al. February 4, 2
2014-02-04
Dynamically tune power proxy architectures
Grant 8,635,483 - Acar , et al. January 21, 2
2014-01-21
Processor Noise Mitigation Using Differential Critical Path Monitoring
App 20130318364 - Berry, JR.; Robert W. ;   et al.
2013-11-28
Successive approximation analog to digital converter with comparator input toggling
Grant 8,587,465 - Chen , et al. November 19, 2
2013-11-19
Reliable setting of voltage and frequency in a microprocessor
Grant 8,566,618 - Floyd , et al. October 22, 2
2013-10-22
Minimizing Power Consumption for Fixed-Frequency Processing Unit Operation
App 20130268786 - Allen-Ware; Malcolm S. ;   et al.
2013-10-10
Minimizing Power Consumption for Fixed-Frequency Processing Unit Operation
App 20130268785 - Allen-Ware; Malcolm S. ;   et al.
2013-10-10
Effect Translation And Assessment Among Microarchitecture Components
App 20130254526 - Abali; Bulent ;   et al.
2013-09-26
Performance control of frequency-adapting processors by voltage domain adjustment
Grant 8,527,801 - Brock , et al. September 3, 2
2013-09-03
Vertical power budgeting and shifting for three-dimensional integration
Grant 8,516,426 - Bose , et al. August 20, 2
2013-08-20
Performing virtual and/or physical resource management for power management
Grant 8,448,006 - Floyd , et al. May 21, 2
2013-05-21
Successive Approximation Analog To Digital Converter With Comparator Input Toggling
App 20130088374 - Chen; Ann H. ;   et al.
2013-04-11
Critical path monitor having selectable operating modes and single edge detection
Grant 8,405,413 - Carpenter , et al. March 26, 2
2013-03-26
Vertical Power Budgeting And Shifting For 3d Integration
App 20130055185 - BOSE; PRADIP ;   et al.
2013-02-28
Method And Apparatus For Supporting Memory Usage Throttling
App 20120330803 - FLOYD; MICHAEL S. ;   et al.
2012-12-27
Method And Apparatus For Supporting Memory Usage Throttling
App 20120331231 - FLOYD; Michael S. ;   et al.
2012-12-27
Dynamically Tune Power Proxy Architectures
App 20120260117 - Acar; Emrah ;   et al.
2012-10-11
Managing the power-performance range of an application
Grant 8,276,015 - Floyd , et al. September 25, 2
2012-09-25
Enhanced thermal management for improved module reliability
Grant 8,214,658 - Casey , et al. July 3, 2
2012-07-03
Using power proxies combined with on-chip actuators to meet a defined power target
Grant 8,214,663 - Floyd , et al. July 3, 2
2012-07-03
Managing processor power-performance states
Grant 8,171,319 - Ghiasi , et al. May 1, 2
2012-05-01
Directed Resource Folding for Power Management
App 20120096293 - Floyd; Michael S. ;   et al.
2012-04-19
Weighted-region cycle accounting for multi-threaded processor cores
Grant 8,161,493 - Floyd , et al. April 17, 2
2012-04-17
Processor Usage Accounting Using Work-rate Measurements
App 20120079500 - Floyd; Michael S. ;   et al.
2012-03-29
Internally controlling and enhancing advanced test and characterization in a multiple core microprocessor
Grant 8,140,902 - Floyd , et al. March 20, 2
2012-03-20
Non-Disruptive Hardware Change
App 20120054544 - Floyd; Michael S. ;   et al.
2012-03-01
Critical Path Monitor Having Selectable Operating Modes And Single Edge Detection
App 20120043982 - Carpenter; Gary D. ;   et al.
2012-02-23
Internally controlling and enhancing logic built-in self test in a multiple core microprocessor
Grant 8,122,312 - Floyd , et al. February 21, 2
2012-02-21
Processor power management
Grant 8,112,250 - Floyd , et al. February 7, 2
2012-02-07
Performance Control Of Frequency-adapting Processors By Voltage Domain Adjustment
App 20120005513 - Brock; Bishop C. ;   et al.
2012-01-05
Sensor subset selection for reduced bandwidth and computation requirements
Grant 8,032,334 - Bieswanger , et al. October 4, 2
2011-10-04
Reliable Setting Of Voltage And Frequency In A Microprocessor
App 20110083021 - Floyd; Michael S. ;   et al.
2011-04-07
Tracking thermal mini-cycle stress
Grant 7,917,328 - Casey , et al. March 29, 2
2011-03-29
Unified management of power, performance, and thermals in computer systems
Grant 7,908,493 - Bieswanger , et al. March 15, 2
2011-03-15
Device For And Method Of Weighted-region Cycle Accounting For Multi-threaded Processor Cores
App 20100287561 - Floyd; Michael S. ;   et al.
2010-11-11
Using Power Proxies Combined with On-Chip Actuators to Meet a Defined Power Target
App 20100268974 - Floyd; Michael S. ;   et al.
2010-10-21
On-chip Power Proxy Based Architecture
App 20100268930 - Bose; Pradip ;   et al.
2010-10-21
Managing Processor Power-performance States
App 20100268968 - Ghiasi; Soraya ;   et al.
2010-10-21
Internally Controlling and Enhancing Logic Built-In Self Test in a Multiple Core Microprocessor
App 20100262879 - Floyd; Michael S. ;   et al.
2010-10-14
System and Method for Managing the Power-Performance Range of an Application
App 20100218029 - Floyd; Michael S. ;   et al.
2010-08-26
Internally Controlling and Enhancing Advanced Test and Characterization in a Multiple Core Microprocessor
App 20100122116 - Floyd; Michael S. ;   et al.
2010-05-13
Method For Automated Processor Power Management For Better Energy-efficiency
App 20100115343 - Floyd; Michael S. ;   et al.
2010-05-06
Tracking Thermal Mini-Cycle Stress
App 20100049466 - Casey; Jon A. ;   et al.
2010-02-25
Enhanced Thermal Management for Improved Module Reliability
App 20100049995 - Casey; Jon A. ;   et al.
2010-02-25
Circuit for dynamic circuit timing synthesis and monitoring of critical paths and environmental conditions of an integrated circuit
Grant 7,576,569 - Carpenter , et al. August 18, 2
2009-08-18
Weighted event counting system and method for processor performance measurements
Grant 7,533,003 - Floyd , et al. May 12, 2
2009-05-12
Sensor Subset Selection for Reduced Bandwidth and Computation Requirements
App 20090099817 - Bieswanger; Andreas ;   et al.
2009-04-16
Sensor subset selection for reduced bandwidth and computation requirements
Grant 7,502,705 - Bieswanger , et al. March 10, 2
2009-03-10
System for Unified Management of Power, Performance, and Thermals in Computer Systems
App 20080307238 - Bieswanger; Andreas ;   et al.
2008-12-11
Sensor Subset Selection For Reduced Bandwidth And Computation Requirements
App 20080300817 - Bieswanger; Andreas ;   et al.
2008-12-04
Weighted Event Counting System And Method For Processor Performance Measurements
App 20080133180 - Floyd; Michael S. ;   et al.
2008-06-05
Circuit for Dynamic Circuit Timing Synthesis and Monitoring of Critical Paths and Environmental Conditions of an Integrated Circuit
App 20080104561 - Carpenter; Gary D. ;   et al.
2008-05-01
Method And Apparatus For Frequency Independent Processor Utilization Recording Register In A Simultaneously Multi-threaded Processor
App 20080086395 - BRENNER; LARRY B. ;   et al.
2008-04-10
Weighted event counting system and method for processor performance measurements
Grant 7,340,378 - Floyd , et al. March 4, 2
2008-03-04
Localized generation of global flush requests while guaranteeing forward progress of a processor
App 20060184769 - Floyd; Michael S. ;   et al.
2006-08-17
Method of implementing precise, localized hardware-error workarounds under centralized control
App 20060184770 - Bishop; James W. ;   et al.
2006-08-17
Method and system for triggering a debugging unit
Grant 6,857,083 - Floyd , et al. February 15, 2
2005-02-15
Method and system for triggering a debugging unit
App 20020129309 - Floyd, Michael S. ;   et al.
2002-09-12

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed