loadpatents
name:-0.11451315879822
name:-0.09417986869812
name:-0.038506984710693
Chou; You-Hua Patent Filings

Chou; You-Hua

Patent Applications and Registrations

Patent applications and USPTO patent grants for Chou; You-Hua.The latest application filed is for "semiconductor integrated circuit".

Company Profile
44.115.125
  • Chou; You-Hua - Hsinchu TW
  • Chou; You-Hua - Hsinchu City TW
  • Chou; You-Hua - Hsin-Chu TW
  • Chou; You-Hua - Taipei TW
  • CHOU; You-Hua - Taipei City TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Method of handling a substrate
Grant 11,376,744 - Chou , et al. July 5, 2
2022-07-05
Method of patterning material layer
Grant 11,367,616 - Chou , et al. June 21, 2
2022-06-21
Method of using a polishing system
Grant 11,358,252 - Lin , et al. June 14, 2
2022-06-14
Photomask
Grant 11,281,091 - Chou , et al. March 22, 2
2022-03-22
Semiconductor device and method for manufacturing the same
Grant 11,239,085 - Chou , et al. February 1, 2
2022-02-01
Semiconductor device having interfacial layer and high .kappa. dielectric layer
Grant 11,239,328 - Chuang , et al. February 1, 2
2022-02-01
Semiconductor Integrated Circuit
App 20210358812 - CHUANG; Kuo-Sheng ;   et al.
2021-11-18
Gamma Ray Generator? Gamma Ray Lithography System And Method Of Performing Gamma Ray Lithography
App 20210341845 - Chou; You-Hua ;   et al.
2021-11-04
Semiconductor device and manufacturing method thereof
Grant 11,164,937 - Ho , et al. November 2, 2
2021-11-02
Silver Patterning And Interconnect Processes
App 20210272799 - Chou; You-Hua ;   et al.
2021-09-02
Semiconductor integrated circuit
Grant 11,101,178 - Chuang , et al. August 24, 2
2021-08-24
Gamma ray generator, gamma ray lithography system and method of performing gamma ray lithography
Grant 11,067,898 - Chou , et al. July 20, 2
2021-07-20
Dielectric Layer, Interconnection Structure Using The Same, And Manufacturing Method Thereof
App 20210202241 - HO; Yi-Chen ;   et al.
2021-07-01
Semiconductor device and formation thereof
Grant 10,985,058 - Lin , et al. April 20, 2
2021-04-20
Wafer pod handling method
Grant 10,978,329 - Chou , et al. April 13, 2
2021-04-13
Dielectric layer, interconnection structure using the same, and manufacturing method thereof
Grant 10,950,426 - Ho , et al. March 16, 2
2021-03-16
Metal Contact Structure and Method of Forming the Same in a Semiconductor Device
App 20210050254 - Lin; Yu-Hung ;   et al.
2021-02-18
Gamma Ray Generator, Gamma Ray Lithography System And Method Of Performing Gamma Ray Lithography
App 20210033980 - Chou; You-Hua ;   et al.
2021-02-04
Method Of Patterning Material Layer
App 20210035804 - Chou; You-Hua ;   et al.
2021-02-04
Fin diode structure and methods thereof
Grant 10,910,483 - Chou February 2, 2
2021-02-02
Apparatus and method for processing wafer
Grant 10,861,721 - Chou , et al. December 8, 2
2020-12-08
Photomask and fabrication method therefor
Grant 10,838,295 - Chou , et al. November 17, 2
2020-11-17
Conductive Powder Formation Method And Device For Forming Conductive Powder
App 20200357694 - CHOU; You-Hua ;   et al.
2020-11-12
Semiconductor Device Having Interfacial Layer And High K Dielectric Layer
App 20200350415 - CHUANG; Kuo-Sheng ;   et al.
2020-11-05
Metal contact structure and method of forming the same in a semiconductor device
Grant 10,825,724 - Lin , et al. November 3, 2
2020-11-03
Conductive powder formation method, device for forming conductive powder, and method of forming semiconductor device
Grant 10,763,165 - Chou , et al. Sep
2020-09-01
Method Of Forming Conductive Bumps For Cooling Device Connection And Semiconductor Device
App 20200258814 - A1
2020-08-13
Method of evaluating characteristics of ion implanted sample
Grant 10,732,120 - Chuang , et al.
2020-08-04
Semiconductor Device And Manufacturing Method Thereof
App 20200235199 - HO; Yi-Chen ;   et al.
2020-07-23
Semiconductor device having interfacial layer and high K dielectric layer
Grant 10,714,575 - Chuang , et al.
2020-07-14
Method of forming conductive bumps for cooling device connection
Grant 10,651,111 - Chou , et al.
2020-05-12
Wafer Pod Handling Method
App 20200098613 - Chou; You-Hua ;   et al.
2020-03-26
Photomask
App 20200089099 - CHOU; You-Hua ;   et al.
2020-03-19
Semiconductor Device And Method For Manufacturing The Same
App 20200091083 - CHOU; You-Hua ;   et al.
2020-03-19
Dielectric Layer, Interconnection Structure Using The Same, And Manufacturing Method Thereof
App 20200058495 - HO; Yi-Chen ;   et al.
2020-02-20
Semiconductor Integrated Circuit
App 20200006150 - CHUANG; Kuo-Sheng ;   et al.
2020-01-02
Semiconductor processing station
Grant 10,510,572 - Chou , et al. Dec
2019-12-17
Composite contact plug structure and method of making same
Grant 10,504,778 - Lin , et al. Dec
2019-12-10
Method Of Handling A Substrate
App 20190358823 - CHOU; You-Hua ;   et al.
2019-11-28
Photomask and method of forming the same
Grant 10,488,749 - Chou , et al. Nov
2019-11-26
Semiconductor device and method for manufacturing the same
Grant 10,483,115 - Chou , et al. Nov
2019-11-19
Method Of Using A Polishing System
App 20190337116 - LIN; Shih-Chi ;   et al.
2019-11-07
Semiconductor Processing Station
App 20190311930 - Chou; You-Hua ;   et al.
2019-10-10
Substrate handling contacts and methods
Grant 10,399,231 - Chou , et al. Sep
2019-09-03
Semiconductor Device Having Interfacial Layer And High K Dielectric Layer
App 20190267458 - CHUANG; Kuo-Sheng ;   et al.
2019-08-29
Fin Diode Structure And Methods Thereof
App 20190252528 - CHOU; You-Hua
2019-08-15
Semiconductor Device And Formation Thereof
App 20190252248 - Lin; Yu-Hung ;   et al.
2019-08-15
Polishing system
Grant 10,357,867 - Lin , et al. July 23, 2
2019-07-23
Semiconductor processing station, semiconductor process and method of operating semiconductor processing station
Grant 10,332,769 - Chou , et al.
2019-06-25
Apparatus And Method For Processing Wafer
App 20190172735 - CHOU; You-Hua ;   et al.
2019-06-06
Semiconductor device and fabrication method therefor
Grant 10,297,505 - Chuang , et al.
2019-05-21
Semiconductor device having interfacial layer and high .kappa. dielectric layer
Grant 10,290,716 - Chuang , et al.
2019-05-14
Fin diode structure and methods thereof
Grant 10,276,692 - Chou
2019-04-30
Composite contact plug structure and method of making same
Grant 10,276,432 - Lin , et al.
2019-04-30
Semiconductor device and formation thereof
Grant 10,269,630 - Lin , et al.
2019-04-23
Method for silicide formation
Grant 10,263,088 - Lin , et al.
2019-04-16
Method Of Evaluating Characteristics Of Ion Implanted Sample
App 20190107493 - CHUANG; Kuo-Sheng ;   et al.
2019-04-11
Composite Contact Plug Structure and Method of Making Same
App 20190109044 - Lin; Yu-Hung ;   et al.
2019-04-11
Apparatus and method for processing wafer
Grant 10,204,807 - Chou , et al. Feb
2019-02-12
PVD apparatus and method with deposition chamber having multiple targets and magnets
Grant 10,190,209 - Kao , et al. Ja
2019-01-29
Method of evaluating characteristics of ion implanted sample
Grant 10,175,176 - Chuang , et al. J
2019-01-08
Semiconductor Device Having Interfacial Layer And High K Dielectric Layer
App 20190006476 - CHUANG; Kuo-Sheng ;   et al.
2019-01-03
Substrate Handling Contacts And Methods
App 20180337078 - CHOU; You-Hua ;   et al.
2018-11-22
Photomask And Fabrication Method Therefor
App 20180321581 - CHOU; You-Hua ;   et al.
2018-11-08
Semiconductor Device And Fabrication Method Therefor
App 20180315661 - CHUANG; Kuo-Sheng ;   et al.
2018-11-01
Semiconductor Device And Method For Manufacturing The Same
App 20180308702 - CHOU; You-Hua ;   et al.
2018-10-25
Apparatus And Method For Processing Wafer
App 20180308724 - CHOU; You-Hua ;   et al.
2018-10-25
Conductive Powder Formation Method, Device For Forming Conductive Powder, And Method Of Forming Semiconductor Device
App 20180301375 - CHOU; You-Hua ;   et al.
2018-10-18
Photomask And Method Of Forming The Same
App 20180284602 - Chou; You-Hua ;   et al.
2018-10-04
Lithography Device And Apparatus And Method For Lithography Device
App 20180284628 - Chou; You-Hua ;   et al.
2018-10-04
Lithography device and apparatus and method for lithography device
Grant 10,088,761 - Chou , et al. October 2, 2
2018-10-02
Semiconductor Device And Formation Thereof
App 20180277429 - LIN; Yu-Hung ;   et al.
2018-09-27
Composite contact plug structure and method of making same
Grant 10,079,174 - Lin , et al. September 18, 2
2018-09-18
Metal-semiconductor contact structure with doped interlayer
Grant 10,049,925 - Lin , et al. August 14, 2
2018-08-14
Method Of Forming Conductive Bumps For Cooling Device Connection
App 20180166361 - CHOU; You-Hua ;   et al.
2018-06-14
Semiconductor device and formation thereof
Grant 9,984,924 - Lin , et al. May 29, 2
2018-05-29
Shower head apparatus and method for controlling plasma or gas distribution
Grant 9,982,340 - Lee , et al. May 29, 2
2018-05-29
Barrier structure for copper interconnect
Grant 9,984,975 - Lin , et al. May 29, 2
2018-05-29
Method for Silicide Formation
App 20180145140 - Lin; Yu-Hung ;   et al.
2018-05-24
Composite Contact Plug Structure And Method Of Making Same
App 20180144978 - Lin; Yu-Hung ;   et al.
2018-05-24
Semiconductor film formation apparatus and process
Grant 9,976,215 - Chou , et al. May 22, 2
2018-05-22
Barrier structure for copper interconnect
Grant 9,966,339 - Lin , et al. May 8, 2
2018-05-08
Method of forming conductive bumps for cooling device connection
Grant 9,899,296 - Chou , et al. February 20, 2
2018-02-20
Wafer processing system using multi-zone chuck
Grant 9,892,954 - Cheng , et al. February 13, 2
2018-02-13
Shielding design for metal gap fill
Grant 9,865,478 - Tsai , et al. January 9, 2
2018-01-09
Method for silicide formation
Grant 9,859,390 - Lin , et al. January 2, 2
2018-01-02
Method for Silicide Formation
App 20170338318 - Lin; Yu-Hung ;   et al.
2017-11-23
Polishing System
App 20170312881 - LIN; Shih-Chi ;   et al.
2017-11-02
Pvd Apparatus And Method With Deposition Chamber Having Multiple Targets And Magnets
App 20170314121 - Kao; Chung-En ;   et al.
2017-11-02
Composite contact plug structure and method of making same
Grant 9,735,050 - Lin , et al. August 15, 2
2017-08-15
Polishing system and polishing method
Grant 9,718,164 - Lin , et al. August 1, 2
2017-08-01
Semiconductor Processing Station, Semiconductor Process And Method Of Operating Semiconductor Processing Station
App 20170207109 - Chou; You-Hua ;   et al.
2017-07-20
Atomic Layer Deposition Apparatus And Semiconductor Process
App 20170207078 - Chou; You-Hua ;   et al.
2017-07-20
PVD apparatus and method with deposition chamber having multiple targets and magnets
Grant 9,708,706 - Kao , et al. July 18, 2
2017-07-18
Manufacturing method of semiconductor device
Grant 9,685,330 - Chuang , et al. June 20, 2
2017-06-20
Manufacturing Method Of Semiconductor Device
App 20170170006 - Chuang; Kuo-Sheng ;   et al.
2017-06-15
Semiconductor device and formation thereof
Grant 9,666,438 - Lin , et al. May 30, 2
2017-05-30
Method Of Evaluating Characteristics Of Ion Implanted Sample
App 20170138863 - CHUANG; Kuo-Sheng ;   et al.
2017-05-18
Contact structures and methods of forming the same
Grant 9,620,601 - Lin , et al. April 11, 2
2017-04-11
Semiconductor Device And Formation Thereof
App 20170098576 - Lin; Yu-Hung ;   et al.
2017-04-06
Reverse damascene process
Grant 9,607,946 - Chou , et al. March 28, 2
2017-03-28
Probe card for simultaneously testing multiple dies
Grant 9,594,096 - Chou , et al. March 14, 2
2017-03-14
Interconnect structure and method of forming the same
Grant 9,589,892 - Lin , et al. March 7, 2
2017-03-07
Mechanisms for processing wafer
Grant 9,575,494 - Chou , et al. February 21, 2
2017-02-21
Rotation plus vibration magnet for magnetron sputtering apparatus
Grant 9,574,265 - Lin , et al. February 21, 2
2017-02-21
Metal-Semiconductor Contact Structure with Doped Interlayer
App 20170004994 - Lin; Yu-Hung ;   et al.
2017-01-05
Reverse damascene process
Grant 9,536,834 - Chou , et al. January 3, 2
2017-01-03
Semiconductor device and formation thereof
Grant 9,530,736 - Lin , et al. December 27, 2
2016-12-27
Overhead crane
Grant 9,522,810 - Chou , et al. December 20, 2
2016-12-20
Two-step shallow trench isolation (STI) process
Grant 9,502,280 - Hong , et al. November 22, 2
2016-11-22
Semiconductor Device And Formation Thereof
App 20160314979 - Lin; Yu-Hung ;   et al.
2016-10-27
Ultra-low oxygen and humility loadport and stocker system
Grant 9,460,949 - Chou , et al. October 4, 2
2016-10-04
Ultra-low oxygen and humility loadport and stocker system
Grant 9,455,169 - Chou , et al. September 27, 2
2016-09-27
Interconnect Structure and Method of Forming the Same
App 20160268192 - Lin; Yu-Hung ;   et al.
2016-09-15
Composite Contact Plug Structure and Method of Making Same
App 20160260633 - Lin; Yu-Hung ;   et al.
2016-09-08
Method Of Trimming Fin Structure
App 20160211352 - CHUANG; Kuo-Sheng ;   et al.
2016-07-21
Semiconductor device comprising metal plug having substantially convex bottom surface
Grant 9,397,040 - Lin , et al. July 19, 2
2016-07-19
Interconnect structure and method of forming the same
Grant 9,385,080 - Lin , et al. July 5, 2
2016-07-05
UV curing system for semiconductors
Grant 9,287,154 - Lien , et al. March 15, 2
2016-03-15
Mechanisms for controlling gas flow in enclosure
Grant 9,272,315 - Chou , et al. March 1, 2
2016-03-01
Interconnect Structure and Method of Forming the Same
App 20160049362 - Lin; Yu-Hung ;   et al.
2016-02-18
CVD conformal vacuum/pumping guiding design
Grant 9,234,278 - Chou , et al. January 12, 2
2016-01-12
Contact Structures And Methods Of Forming The Same
App 20160005824 - Lin; Yu-Hung ;   et al.
2016-01-07
Electrostatic chuck with multi-zone control
Grant 9,218,998 - Chen , et al. December 22, 2
2015-12-22
Die edge contacts for semiconductor devices
Grant 9,190,347 - Lai , et al. November 17, 2
2015-11-17
Metal-semiconductor Contact Structure With Doped Interlayer
App 20150325484 - Lin; Yu-Hung ;   et al.
2015-11-12
Composite Contact Plug Structure and Method of Making Same
App 20150318243 - Lin; Yu-Hung ;   et al.
2015-11-05
Rotation Plus Vibration Magnet For Magnetron Sputtering Apparatus
App 20150307985 - Lin; Bo-Hung ;   et al.
2015-10-29
Metal Contact Structure and Method of Forming the Same
App 20150311150 - Lin; Yu-Hung ;   et al.
2015-10-29
Barrier Structure for Copper Interconnect
App 20150262938 - Lin; Yu-Hung ;   et al.
2015-09-17
Barrier Structure for Copper Interconnect
App 20150262870 - Lin; Yu-Hung ;   et al.
2015-09-17
Semiconductor Device And Formation Thereof
App 20150255396 - Lin; Yu-Hung ;   et al.
2015-09-10
Semiconductor Device And Formation Thereof
App 20150235956 - Lin; Yu-Hung ;   et al.
2015-08-20
Rotation plus vibration magnet for magnetron sputtering apparatus
Grant 9,093,252 - Lin , et al. July 28, 2
2015-07-28
Overhead Crane
App 20150203336 - Chou; You-Hua ;   et al.
2015-07-23
Two-Step Shallow Trench Isolation (STI) Process
App 20150179502 - Hong; Min Hao ;   et al.
2015-06-25
Mechanisms For Processing Wafer
App 20150129044 - CHOU; You-Hua ;   et al.
2015-05-14
Metal Bumps For Cooling Device Connection
App 20150125998 - CHOU; You-Hua ;   et al.
2015-05-07
Closed loop control for reliability
Grant 9,026,241 - Yang , et al. May 5, 2
2015-05-05
Shielding Design For Metal Gap Fill
App 20150118843 - Tsai; Ming-Chin ;   et al.
2015-04-30
Ultra-low Oxygen And Humility Loadport And Stocker System
App 20150101703 - Chou; You-Hua ;   et al.
2015-04-16
Ultra-low Oxygen And Humility Loadport And Stocker System
App 20150101959 - Chou; You-Hua ;   et al.
2015-04-16
Mechanisms For Controlling Gas Flow In Enclosure
App 20150101482 - CHOU; You-Hua ;   et al.
2015-04-16
Two-step shallow trench isolation (STI) process
Grant 9,006,070 - Hong , et al. April 14, 2
2015-04-14
Electrostatic chuck robotic system
Grant 8,953,298 - Kao , et al. February 10, 2
2015-02-10
Metal bumps for cooling device connection
Grant 8,941,232 - Chou , et al. January 27, 2
2015-01-27
Electrostatic Check with Multi-Zone Control
App 20150016011 - Chen; Chia-Ho ;   et al.
2015-01-15
Shielding design for metal gap fill
Grant 8,926,806 - Tsai , et al. January 6, 2
2015-01-06
Chemical vapor deposition film profile uniformity control
Grant 8,916,480 - Kuo , et al. December 23, 2
2014-12-23
Electrostatic chuck with multi-zone control
Grant 8,902,561 - Chen , et al. December 2, 2
2014-12-02
Method and apparatus for preparing polysilazane on a semiconductor wafer
Grant 8,796,105 - Chou , et al. August 5, 2
2014-08-05
Wafer Processing System Using Multi-zone Chuck
App 20140202383 - CHENG; Nai-Han ;   et al.
2014-07-24
Two-step Shallow Trench Isolation (sti) Process
App 20140179071 - Hong; Min Hao ;   et al.
2014-06-26
Polishing System And Polishing Method
App 20140162534 - LIN; Shih-Chi ;   et al.
2014-06-12
Mechanisms for resistivity measurement of bump structures
Grant 8,742,776 - Chou , et al. June 3, 2
2014-06-03
Wafer processing method and system using multi-zone chuck
Grant 8,709,528 - Cheng , et al. April 29, 2
2014-04-29
Two-step shallow trench isolation (STI) process
Grant 8,692,299 - Hong , et al. April 8, 2
2014-04-08
Two-step Shallow Trench Isolation (sti) Process
App 20140054653 - Hong; Min Hao ;   et al.
2014-02-27
Method And Apparatus For Preparing Polysilazane On A Semiconductor Wafer
App 20140030866 - Chou; You-Hua ;   et al.
2014-01-30
Integrated technology for partial air gap low K deposition
Grant 8,624,394 - Chang , et al. January 7, 2
2014-01-07
Probe Card for Simultaneously Testing Multiple Dies
App 20130328586 - Chou; You-Hua ;   et al.
2013-12-12
Reverse Damascene Process
App 20130328198 - Chou; You-Hua ;   et al.
2013-12-12
Die Edge Contacts for Semiconductor Devices
App 20130328215 - Lai; Yi-Jen ;   et al.
2013-12-12
Uv Curing System For Semiconductors
App 20130320235 - LIEN; Ming Huei ;   et al.
2013-12-05
Semiconductor Film Formation Apparatus And Process
App 20130295297 - CHOU; You-Hua ;   et al.
2013-11-07
Probe card for simultaneously testing multiple dies
Grant 8,564,319 - Chou , et al. October 22, 2
2013-10-22
Shower Head Apparatus And Method For Controllign Plasma Or Gas Distribution
App 20130267045 - LEE; Chih-Tsung ;   et al.
2013-10-10
Reverse Damascene Process
App 20130260552 - Chou; You-Hua ;   et al.
2013-10-03
Die edge contacts for semiconductor devices
Grant 8,541,262 - Lai , et al. September 24, 2
2013-09-24
Valve Purge Assembly For Semiconductor Manufacturing Tools
App 20130239889 - LIEN; Ming Huei ;   et al.
2013-09-19
Novel Closed Loop Control For Reliability
App 20130226327 - Yang; Wen-Cheng ;   et al.
2013-08-29
Reverse damascene process
Grant 8,518,818 - Chou , et al. August 27, 2
2013-08-27
Rotation Plus Vibration Magnet for Magnetron Sputtering Apparatus
App 20130213797 - Lin; Bo-Hung ;   et al.
2013-08-22
Electrostatic Chuck with Multi-Zone Control
App 20130201596 - Chen; Chia-Ho ;   et al.
2013-08-08
Devices having a cavity structure and related methods
Grant 8,497,183 - Chou , et al. July 30, 2
2013-07-30
Shielding Design for Metal Gap Fill
App 20130186338 - Tsai; Ming-Chin ;   et al.
2013-07-25
CVD Conformal Vacuum/Pumping Guiding Design
App 20130189851 - Chou; You-Hua ;   et al.
2013-07-25
Wafer Processing Method And System Using Multi-zone Chuck
App 20130171336 - CHENG; Nai-Han ;   et al.
2013-07-04
Chemical Vapor Deposition Film Profile Uniformity Control
App 20130149871 - Kuo; Ming-Shiou ;   et al.
2013-06-13
Integrated Technology for Partial Air Gap Low K Deposition
App 20130147046 - Chang; Hung Jui ;   et al.
2013-06-13
Apparatus And Method With Deposition Chamber Having Multiple Targets And Magnets
App 20130136873 - KAO; Chung-En ;   et al.
2013-05-30
Electrostatic Chuck Robotic System
App 20130135784 - Kao; Chung-En ;   et al.
2013-05-30
Reverse Damascene Process
App 20130069233 - Chou; You-Hua ;   et al.
2013-03-21
Metal Bumps For Cooling Device Connection
App 20120217628 - CHOU; You-Hua ;   et al.
2012-08-30
Mechanisms For Resistivity Measurement Of Bump Structures
App 20120133379 - CHOU; You-Hua ;   et al.
2012-05-31
Die Edge Contacts for Semiconductor Devices
App 20120056328 - Lai; Yi-Jen ;   et al.
2012-03-08
Probe Card for Simultaneously Testing Multiple Dies
App 20110309854 - Chou; You-Hua ;   et al.
2011-12-22
Devices having a cavity structure and related methods
App 20100255187 - Chou; You-Hua ;   et al.
2010-10-07
Method for forming a high density dielectric film by chemical vapor deposition
Grant 7,314,838 - Tsai , et al. January 1, 2
2008-01-01
Devices having a cavity structure and related methods
App 20070241459 - Chou; You-Hua ;   et al.
2007-10-18
Semiconductor device including shallow trench isolator and method of forming same
App 20070190742 - Chou; You-Hua ;   et al.
2007-08-16
Method for enhancing FSG film stability
Grant 7,226,875 - Tsai , et al. June 5, 2
2007-06-05
Method for detecting alignment mark shielding
Grant 7,169,626 - Chao , et al. January 30, 2
2007-01-30
Method for forming a high density dielectric film by chemical vapor deposition
App 20070020953 - Tsai; Cheng-Yuan ;   et al.
2007-01-25
Reverse tone mask method for post-CMP elimination of copper overburden
Grant 7,125,803 - Chou , et al. October 24, 2
2006-10-24
Damascene MIM capacitor structure with self-aligned oxidation fabrication process
App 20060148192 - Chou; You-Hua ;   et al.
2006-07-06
Method for enhancing FSG film stability
App 20060115996 - Tsai; Cheng-Yuan ;   et al.
2006-06-01
Method for detecting alignment mark shielding
App 20060063347 - Chao; Yen-Chang ;   et al.
2006-03-23
Atomic layer deposition for filling a gap between devices
App 20050282350 - Chou, You-Hua ;   et al.
2005-12-22
Reverse tone mask method for post-CMP elimination of copper overburden
App 20050245077 - Chou, You-Hua ;   et al.
2005-11-03
Metal-insulator-metal capacitor structure
Grant 6,934,143 - Chou , et al. August 23, 2
2005-08-23
Metal-insulator-metal capacitor structure
App 20050073800 - Chou, You-Hua ;   et al.
2005-04-07

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed