loadpatents
name:-0.3507399559021
name:-0.10520601272583
name:-0.10852813720703
Chiang; Kuo-Cheng Patent Filings

Chiang; Kuo-Cheng

Patent Applications and Registrations

Patent applications and USPTO patent grants for Chiang; Kuo-Cheng.The latest application filed is for "semiconductor device structure and methods of forming the same".

Company Profile
89.103.185
  • Chiang; Kuo-Cheng - Zhubei City TW
  • CHIANG; Kuo-Cheng - Hsinchu TW
  • Chiang; Kuo-Cheng - Hsinchu County TW
  • Chiang; Kuo-Cheng - Zhubei TW
  • CHIANG; Kuo-Cheng - ZhubeiCity TW
  • CHIANG; Kuo-Cheng - Zhubie City TW
  • Chiang; Kuo-Cheng - Hsin-Chu TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Devices Including Gate Spacer with Gap or Void and Methods of Forming the Same
App 20220310826 - Chiang; Kuo-Cheng ;   et al.
2022-09-29
Semiconductor Device Structure And Methods Of Forming The Same
App 20220310454 - PAN; Kuan-Ting ;   et al.
2022-09-29
Nanostructure With Various Widths
App 20220310453 - LIU; Hsiao-Han ;   et al.
2022-09-29
Finfet Devices With Backside Power Rail And Backside Self-aligned Via
App 20220310841 - Ju; Shi Ning ;   et al.
2022-09-29
Semiconductor device structure with hard mask layer over fin structure and method for forming the same
Grant 11,456,368 - Chiang , et al. September 27, 2
2022-09-27
Integrated circuits with buried interconnect conductors
Grant 11,456,217 - Chiang , et al. September 27, 2
2022-09-27
Dual Channel Gate All Around Transistor Device and Fabrication Methods Thereof
App 20220301943 - Yun; Wei-Sheng ;   et al.
2022-09-22
Semiconductor Device Structure Including Forksheet Transistors And Methods Of Forming The Same
App 20220302275 - YU; Jia-Ni ;   et al.
2022-09-22
Semiconductor Devices With Backside Power Rail And Backside Self-aligned Via
App 20220302268 - Chiang; Kuo-Cheng ;   et al.
2022-09-22
Gate isolation structure
Grant 11,450,662 - You , et al. September 20, 2
2022-09-20
Semiconductor structure with self-aligned backside power rail
Grant 11,450,665 - Chiang , et al. September 20, 2
2022-09-20
Semiconductor devices and methods of manufacture
Grant 11,450,754 - Lin , et al. September 20, 2
2022-09-20
Semiconductor device having nanosheet transistor and methods of fabrication thereof
Grant 11,450,664 - Huang , et al. September 20, 2
2022-09-20
Semiconductor device structure and methods of forming the same
Grant 11,450,663 - Chen , et al. September 20, 2
2022-09-20
Method For Forming Sidewall Spacers And Semiconductor Devices Fabricated Thereof
App 20220293769 - Pan; Kuan-Ting ;   et al.
2022-09-15
Semiconductor Device Structure And Methods Of Forming The Same
App 20220293782 - PAN; Kuan-Ting ;   et al.
2022-09-15
Semiconductor Device with Backside Self-Aligned Power Rail and Methods of Forming the Same
App 20220293759 - Chou; Chih-Chao ;   et al.
2022-09-15
Semiconductor device with backside self-aligned power rail and methods of forming the same
Grant 11,444,170 - Chou , et al. September 13, 2
2022-09-13
Semiconductor Device With Gate Isolation Features And Fabrication Method Of The Same
App 20220285512 - Cheng; Jung-Chien ;   et al.
2022-09-08
Integration Of Multiple Fin Stuctures On A Single Substrate
App 20220285343 - Chou; Chih-Chao ;   et al.
2022-09-08
Wrap around silicide for FinFETs
Grant 11,437,479 - Chiang , et al. September 6, 2
2022-09-06
Inner spacers for gate-all-around transistors
Grant 11,430,892 - Chiang , et al. August 30, 2
2022-08-30
Formation Method Of Semiconductor Device With Isolation Structure
App 20220271173 - CHIANG; Kuo-Cheng ;   et al.
2022-08-25
Multi-gate Device And Related Methods
App 20220270934 - PAN; Kuan-Ting ;   et al.
2022-08-25
Stacked Gate-All-Around FinFET and Method Forming the Same
App 20220271165 - Chiang; Kuo-Cheng ;   et al.
2022-08-25
Semiconductor Device Structure And Method For Forming The Same
App 20220271148 - HUANG; Mao-Lin ;   et al.
2022-08-25
Structure and formation method of semiconductor device with isolation structure
Grant 11,424,242 - Ju , et al. August 23, 2
2022-08-23
Structure and formation method of semiconductor device with metal gate stack
Grant 11,417,745 - You , et al. August 16, 2
2022-08-16
Enlargement of GAA nanostructure
Grant 11,417,777 - Chang , et al. August 16, 2
2022-08-16
Semiconductor structure and method for forming the same
Grant 11,417,653 - Yu , et al. August 16, 2
2022-08-16
Nanosheet Devices With Hybrid Structures And Methods Of Fabricating The Same
App 20220254882 - Chiang; Kuo-Cheng ;   et al.
2022-08-11
Method (and Related Apparatus) For Forming A Semiconductor Device With Reduced Spacing Between Nanostructure Field-effect Transistors
App 20220254780 - Lin; Zhi-Chang ;   et al.
2022-08-11
Hybrid Semiconductor Device
App 20220254776 - Cheng; Jung-Chien ;   et al.
2022-08-11
Uniform Gate Width For Nanostructure Devices
App 20220246614 - Huang; Jui-Chien ;   et al.
2022-08-04
Silicon and silicon germanium nanowire formation
Grant 11,404,325 - Ng , et al. August 2, 2
2022-08-02
Fin isolation structures of semiconductor devices
Grant 11,404,324 - Chiang , et al. August 2, 2
2022-08-02
Self-Aligned Source/Drain Metal Contacts and Formation Thereof
App 20220238695 - Wang; Pei-Hsun ;   et al.
2022-07-28
Isolation Structures And Methods Of Forming The Same In Field-Effect Transistors
App 20220238717 - Ju; Shi Ning ;   et al.
2022-07-28
Nanostructures and Method for Manufacturing the Same
App 20220238699 - Chiang; Kuo-Cheng ;   et al.
2022-07-28
Multi-gate Devices And Method Of Fabricating The Same
App 20220238385 - Chang; Lo-Heng ;   et al.
2022-07-28
Self-Aligned Spacers For Multi-Gate Devices And Method Of Fabrication Thereof
App 20220238725 - Chiang; Kuo-Cheng ;   et al.
2022-07-28
Nanosheet Thickness
App 20220238384 - Lan; Wen-Ting ;   et al.
2022-07-28
Structure and formation method of semiconductor device with hybrid fins
Grant 11,398,476 - Ng , et al. July 26, 2
2022-07-26
Integration Of Silicon Channel Nanostructures And Silicon-germanium Channel Nanostructures
App 20220231017 - JU; Shi Ning ;   et al.
2022-07-21
Semiconductor Devices and Methods
App 20220231139 - Ju; Shi Ning ;   et al.
2022-07-21
Multi-gate Device And Related Methods
App 20220231016 - CHUANG; Li-Yang ;   et al.
2022-07-21
Nanostructure Field-Effect Transistor Device and Method of Forming
App 20220230922 - Chen; Guan-Lin ;   et al.
2022-07-21
Semiconductor Device Structure And Methods Of Forming The Same
App 20220223593 - YOU; Jia-Chuan ;   et al.
2022-07-14
Gate patterning process for multi-gate devices
Grant 11,387,346 - Huang , et al. July 12, 2
2022-07-12
Integrated circuits with backside power rails
Grant 11,387,181 - Chou , et al. July 12, 2
2022-07-12
Fin structures having varied fin heights for semiconductor device
Grant 11,387,347 - Chiang , et al. July 12, 2
2022-07-12
Structure And Method For Sram Finfet Device Having An Oxide Feature
App 20220216222 - Chiang; Kuo-Cheng ;   et al.
2022-07-07
Capacitance Reduction For Back-side Power Rail Device
App 20220216346 - Ju; Shi Ning ;   et al.
2022-07-07
Contact Structure For Stacked Multi-gate Device
App 20220216340 - Lin; Zhi-Chang ;   et al.
2022-07-07
Gap-insulated Semiconductor Device
App 20220216203 - Cheng; Jung-Chien ;   et al.
2022-07-07
Structure and method for FinFET device with buried SiGe oxide
Grant 11,380,783 - Chiang , et al. July 5, 2
2022-07-05
Nanosheet device with dipole dielectric layer and methods of forming the same
Grant 11,374,105 - Hsu , et al. June 28, 2
2022-06-28
Method for manufacturing a FinFET device with a backside power rail and a backside self-aligned via by etching an extended source trench
Grant 11,362,213 - Ju , et al. June 14, 2
2022-06-14
Method for manufacturing nanostructures with various widths
Grant 11,362,001 - Liu , et al. June 14, 2
2022-06-14
FinFET devices and methods of forming
Grant 11,362,004 - Chiang , et al. June 14, 2
2022-06-14
Gate Structure And Patterning Method
App 20220181218 - Chu; Lung-Kun ;   et al.
2022-06-09
Semiconductor Device and Method
App 20220181490 - Chang; Jung-Hung ;   et al.
2022-06-09
Backside Power Rail Structure and Methods of Forming Same
App 20220181259 - Chiang; Kuo-Cheng ;   et al.
2022-06-09
Semiconductor devices with backside power rail and backside self-aligned via
Grant 11,355,601 - Chiang , et al. June 7, 2
2022-06-07
Semiconductor device structure and methods of forming the same
Grant 11,355,398 - Pan , et al. June 7, 2
2022-06-07
Work Function Design To Increase Density Of Nanosheet Devices
App 20220173096 - Huang; Mao-Lin ;   et al.
2022-06-02
Semiconductor Structure With Hybrid Nanostructures
App 20220173213 - LAN; Wen-Ting ;   et al.
2022-06-02
Semiconductor Device Structure And Methods Of Forming The Same
App 20220165730 - CHEN; Shih-Cheng ;   et al.
2022-05-26
Isolation Structures of Semiconductor Devices
App 20220165868 - You; Jia-Chuan ;   et al.
2022-05-26
Semiconductor Device Having Nanosheet Transistor And Methods Of Fabrication Thereof
App 20220165731 - HUANG; Mao-Lin ;   et al.
2022-05-26
Integration of multiple fin structures on a single substrate
Grant 11,342,325 - Chou , et al. May 24, 2
2022-05-24
Latch-Up Prevention
App 20220157994 - Chen; Shih-Cheng ;   et al.
2022-05-19
Stacked Gate-All-Around FinFET and method forming the same
Grant 11,335,809 - Chiang , et al. May 17, 2
2022-05-17
Structure and formation method of semiconductor device with isolation structure
Grant 11,329,165 - Chiang , et al. May 10, 2
2022-05-10
Multi-gate device and related methods
Grant 11,328,963 - Pan , et al. May 10, 2
2022-05-10
Metal Gate Patterning Process and Devices Thereof
App 20220140115 - Chu; Lung-Kun ;   et al.
2022-05-05
Semiconductor Device with Gate Isolation Structure and Method for Forming the Same
App 20220139914 - Cheng; Jung-Chien ;   et al.
2022-05-05
Semiconductor Structures and Methods Thereof
App 20220140097 - Hsu; Chung-Wei ;   et al.
2022-05-05
Multi-gate devices and method of fabricating the same
Grant 11,322,409 - Chang , et al. May 3, 2
2022-05-03
Method (and related apparatus) for forming a semiconductor device with reduced spacing between nanostructure field-effect transistors
Grant 11,322,493 - Lin , et al. May 3, 2
2022-05-03
Semiconductor Device Structure
App 20220130955 - Cheng; Eric ;   et al.
2022-04-28
Semiconductor Structure And Method Of Fabricating The Semiconductor Structure
App 20220130958 - CHEN; GUAN-LIN ;   et al.
2022-04-28
Uniform gate width for nanostructure devices
Grant 11,315,925 - Huang , et al. April 26, 2
2022-04-26
Field Effect Transistor And Method
App 20220115498 - CHU; Lung-Kun ;   et al.
2022-04-14
Semiconductor Device Structure
App 20220115374 - CHIANG; Kuo-Cheng ;   et al.
2022-04-14
Semiconductor device structure and methods of forming the same
Grant 11,302,693 - You , et al. April 12, 2
2022-04-12
Method of forming self-aligned source/drain metal contacts
Grant 11,302,796 - Wang , et al. April 12, 2
2022-04-12
Nanosheet thickness
Grant 11,302,580 - Lan , et al. April 12, 2
2022-04-12
Input/Output Semiconductor Devices
App 20220108984 - Huang; Mao-Lin ;   et al.
2022-04-07
Integration of silicon channel nanostructures and silicon-germanium channel nanostructures
Grant 11,296,081 - Ju , et al. April 5, 2
2022-04-05
Semiconductor devices and methods
Grant 11,296,199 - Ju , et al. April 5, 2
2022-04-05
Multi-gate device and related methods
Grant 11,296,082 - Chuang , et al. April 5, 2
2022-04-05
Capacitance reduction for back-side power rail device
Grant 11,289,606 - Ju , et al. March 29, 2
2022-03-29
Semiconductor Devices And Methods Of Fabrication Thereof
App 20220093785 - CHEN; Shih-Cheng ;   et al.
2022-03-24
Semiconductor Device Fabrication Methods And Structures Thereof
App 20220093472 - Hsu; Chung-Wei ;   et al.
2022-03-24
Semiconductor Device Structure And Methods Of Forming The Same
App 20220093471 - PAN; Kuan-Ting ;   et al.
2022-03-24
Semiconductor Devices With Backside Power Rail And Methods Of Fabrication Thereof
App 20220093512 - CHANG; Lo-Heng ;   et al.
2022-03-24
Semiconductor Device Structure And Methods Of Forming The Same
App 20220093595 - PAN; Kuan-Ting ;   et al.
2022-03-24
Semiconductor Structures And Methods Thereof
App 20220084830 - Hsu; Chung-Wei ;   et al.
2022-03-17
Semiconductor Device Structure And Methods Of Forming The Same
App 20220068716 - PAN; Kuan-Ting ;   et al.
2022-03-03
Semiconductor Device Structure And Methods Of Forming The Same
App 20220068919 - YOU; Jia-Chuan ;   et al.
2022-03-03
Gate structure and patterning method
Grant 11,264,288 - Chu , et al. March 1, 2
2022-03-01
Backside power rail structure and methods of forming same
Grant 11,264,327 - Chiang , et al. March 1, 2
2022-03-01
Semiconductor device and method
Grant 11,264,502 - Chang , et al. March 1, 2
2022-03-01
Semiconductor Devices With Fin-top Hard Mask And Methods For Fabrication Thereof
App 20220059678 - JHAN; Yi-Ruei ;   et al.
2022-02-24
Method for manufacturing semiconductor structure with hybrid nanostructures
Grant 11,257,903 - Lan , et al. February 22, 2
2022-02-22
Work function design to increase density of nanosheet devices
Grant 11,257,815 - Huang , et al. February 22, 2
2022-02-22
Method For Manufacturing Semiconductor Structure
App 20220052040 - JU; Shi Ning ;   et al.
2022-02-17
Dual channel gate all around transistor device and fabrication methods thereof
Grant 11,251,090 - Wang , et al. February 15, 2
2022-02-15
Gate Isolation Structure
App 20220045051 - You; Jia-Chuan ;   et al.
2022-02-10
Latch-up prevention
Grant 11,245,036 - Chen , et al. February 8, 2
2022-02-08
Methods of fabricating semiconductor devices for tightening spacing between nanosheets in GAA structures and structures formed thereby
Grant 11,244,871 - Chiang , et al. February 8, 2
2022-02-08
Isolation structures of semiconductor devices
Grant 11,245,028 - You , et al. February 8, 2
2022-02-08
Multigate Device With Air Gap Spacer And Backside Rail Contact And Method Of Fabricating Thereof
App 20220037496 - CHEN; Guan-Lin ;   et al.
2022-02-03
Multi-gate Device And Related Methods
App 20220037506 - CHEN; Shih-Cheng ;   et al.
2022-02-03
Structure And Formation Method Of Semiconductor Device With Metal Gate Stack
App 20220037491 - YOU; Jia-Chuan ;   et al.
2022-02-03
Multi-gate Device And Related Methods
App 20220037315 - CHUANG; Li-Yang ;   et al.
2022-02-03
Spacer Structure For Nano-Sheet-Based Devices
App 20220037509 - Huang; Jui-Chien ;   et al.
2022-02-03
Transistors With Different Threshold Voltages
App 20220037499 - Chu; Lung-Kun ;   et al.
2022-02-03
Latch-up Prevention
App 20220029023 - Chen; Shih-Cheng ;   et al.
2022-01-27
Self-aligned Metal Gate For Multigate Device And Method Of Forming Thereof
App 20220013410 - YOU; Jia-Chuan ;   et al.
2022-01-13
Semiconductor structure and method of fabricating the semiconductor structure
Grant 11,222,948 - Chen , et al. January 11, 2
2022-01-11
Antenna-free high-k gate dielectric for a gate-all-around transistor and methods of forming the same
Grant 11,217,676 - Wu , et al. January 4, 2
2022-01-04
Method For Forming Semiconductor Device Structure
App 20210407858 - TSAI; Ching-Wei ;   et al.
2021-12-30
Antenna-free High-k Gate Dielectric For A Gate-all-around Transistor And Methods Of Forming The Same
App 20210408256 - WU; Che-Chen ;   et al.
2021-12-30
Integration Of Silicon Channel Nanostructures And Silicon-germanium Channel Nanostructures
App 20210407993 - JU; Shi Ning ;   et al.
2021-12-30
Semiconductor device structure and method for forming the same
Grant 11,211,381 - Chiang , et al. December 28, 2
2021-12-28
Semiconductor device and method of forming the same
Grant 11,211,472 - Wang , et al. December 28, 2
2021-12-28
FinFETs with wrap-around silicide and method forming the same
Grant 11,211,498 - Chiang , et al. December 28, 2
2021-12-28
Selective inner spacer implementations
Grant 11,205,711 - Wang , et al. December 21, 2
2021-12-21
Fin spacer protected source and drain regions in FinFETs
Grant 11,205,594 - Chiang , et al. December 21, 2
2021-12-21
Input/output semiconductor devices
Grant 11,205,650 - Huang , et al. December 21, 2
2021-12-21
Fin Recess Last Process For Finfet Fabrication
App 20210391420 - Chiang; Kuo-Cheng ;   et al.
2021-12-16
Enlargement of GAA Nanostructure
App 20210391477 - Chang; Lo-Heng ;   et al.
2021-12-16
Structure and formation method of semiconductor device with stressor
Grant 11,201,225 - Chiang , et al. December 14, 2
2021-12-14
Gate Isolation for Multigate Device
App 20210375858 - Chiang; Kuo-Cheng ;   et al.
2021-12-02
Self-Aligned Backside Source Contact Structure
App 20210376093 - Chu; Lung-Kun ;   et al.
2021-12-02
Gate-All-Around Field-Effect Transistor Device
App 20210376081 - Chiang; Kuo-Cheng ;   et al.
2021-12-02
Nanosheet Thickness
App 20210375859 - Lan; Wen-Ting ;   et al.
2021-12-02
Reduction Of Gate-drain Capacitance
App 20210375864 - Chang; Jung-Hung ;   et al.
2021-12-02
Finfet Pitch Scaling
App 20210375860 - Pan; Kuan-Ting ;   et al.
2021-12-02
Semiconductor Devices with Dielectric Fins and Method for Forming the Same
App 20210366908 - Pan; Kuan-Ting ;   et al.
2021-11-25
Semiconductor Device Structure With Silicide
App 20210366717 - LIN; Chun-Hsiung ;   et al.
2021-11-25
Dipole Patterning for CMOS Devices
App 20210366783 - Chu; Lung-Kun ;   et al.
2021-11-25
Silicon Channel Tempering
App 20210359142 - Huang; Mao-Lin ;   et al.
2021-11-18
Gate-all-around Devices Having Self-aligned Capping Between Channel And Backside Power Rail
App 20210359091 - Hsu; Chung-Wei ;   et al.
2021-11-18
Capacitance Reduction For Back-side Power Rail Device
App 20210351303 - Ju; Shi Ning ;   et al.
2021-11-11
Tuning Tensile Strain on FinFET
App 20210343716 - Chiang; Kuo-Cheng ;   et al.
2021-11-04
Self-Aligned Metal Gate for Multigate Device
App 20210343600 - Chen; Guan-Lin ;   et al.
2021-11-04
Gate Isolation for Multigate Device
App 20210343713 - Ju; Shi Ning ;   et al.
2021-11-04
Semiconductor structure and method for manufacturing the same
Grant 11,164,866 - Ju , et al. November 2, 2
2021-11-02
Multi-Layer Channel Structures And Methods Of Fabricating The Same In Field-Effect Transistors
App 20210336024 - Chen; Guan-Lin ;   et al.
2021-10-28
Gate Patterning Process for Multi-Gate Devices
App 20210336033 - Huang; Mao-Lin ;   et al.
2021-10-28
Drain Side Recess For Back-side Power Rail Device
App 20210336019 - Su; Huan-Chieh ;   et al.
2021-10-28
Transistors with different threshold voltages
Grant 11,152,477 - Chu , et al. October 19, 2
2021-10-19
Semiconductor device with dummy fin and liner and method of forming the same
Grant 11,145,734 - Yu , et al. October 12, 2
2021-10-12
Self-Aligned Source/Drain Metal Contacts And Formation Thereof
App 20210313448 - Wang; Pei-Hsun ;   et al.
2021-10-07
Semiconductor structure and method for forming the same
Grant 11,139,379 - Lin , et al. October 5, 2
2021-10-05
Nanosheet Device with Dipole Dielectric Layer and Methods of Forming the Same
App 20210305400 - Hsu; Chung-Wei ;   et al.
2021-09-30
P-Metal Gate First Gate Replacement Process for Multigate Devices
App 20210305408 - Yu; Jia-Ni ;   et al.
2021-09-30
Semiconductor Structure with Self-Aligned Backside Power Rail
App 20210305252 - Chiang; Kuo-Cheng ;   et al.
2021-09-30
Semiconductor Devices With Backside Power Rail And Backside Self-aligned Via
App 20210305381 - Chiang; Kuo-Cheng ;   et al.
2021-09-30
Finfet Devices With Backside Power Rail And Backside Self-aligned Via
App 20210305428 - Ju; Shi Ning ;   et al.
2021-09-30
Fin structures having varied fin heights for semiconductor device
Grant 11,133,401 - Chiang , et al. September 28, 2
2021-09-28
Multi-gate Device And Related Methods
App 20210296179 - JU; Shi Ning ;   et al.
2021-09-23
Integration Of Multiple Fin Stuctures On A Single Substrate
App 20210296312 - Chou; Chih-Chao ;   et al.
2021-09-23
Integrated Circuit with a Fin and Gate Structure and Method Making the Same
App 20210288161 - Chiang; Kuo-Cheng ;   et al.
2021-09-16
Semiconductor device structure and method for forming the same
Grant 11,121,037 - Tsai , et al. September 14, 2
2021-09-14
Fin recess last process for FinFET fabrication
Grant 11,121,213 - Chiang , et al. September 14, 2
2021-09-14
Liner for A Bi-Layer Gate Helmet and the Fabrication Thereof
App 20210280694 - Su; Huan-Chieh ;   et al.
2021-09-09
Method For Forming Semiconductor Device Structure
App 20210280709 - CHIANG; Kuo-Cheng ;   et al.
2021-09-09
Recessing STI to increase FIN height in FIN-first process
Grant 11,114,550 - Chiang , et al. September 7, 2
2021-09-07
Gate-all-around field-effect transistor device
Grant 11,114,529 - Chiang , et al. September 7, 2
2021-09-07
Multi-gate Device And Related Methods
App 20210272856 - PAN; Kuan-Ting ;   et al.
2021-09-02
Semiconductor Device and Method
App 20210273098 - Chang; Jung-Hung ;   et al.
2021-09-02
Integrated Circuits with Buried Interconnect Conductors
App 20210272853 - Chiang; Kuo-Cheng ;   et al.
2021-09-02
Gate Isolation Feature and Manufacturing Method Thereof
App 20210273075 - Pan; Kuan-Ting ;   et al.
2021-09-02
Transistors with Different Threshold Voltages
App 20210265496 - Chu; Lung-Kun ;   et al.
2021-08-26
Semiconductor Device And Method Of Forming The Same
App 20210265483 - Wang; Pei-Hsun ;   et al.
2021-08-26
Structure And Formation Method Of Semiconductor Device With Isolation Structure
App 20210265508 - CHIANG; Kuo-Cheng ;   et al.
2021-08-26
Multi-Gate Device and Method of Fabrication Thereof
App 20210257480 - Jhan; Yi-Ruei ;   et al.
2021-08-19
Etch Profile Control Of Polysilicon Structures Of Semiconductor Devices
App 20210257259 - CHIANG; Kuo-Cheng ;   et al.
2021-08-19
Semiconductor device structure with silicide and method for forming the same
Grant 11,087,988 - Lin , et al. August 10, 2
2021-08-10
Isolation Structures Of Semiconductor Devices
App 20210242331 - YOU; Jia-Chuan ;   et al.
2021-08-05
Tuning tensile strain on FinFET
Grant 11,075,201 - Chiang , et al. July 27, 2
2021-07-27
Semiconductor Structure And Method For Forming The Same
App 20210226020 - LIN; Zhi-Chang ;   et al.
2021-07-22
Dual Channel Gate All Around Transistor Device and Fabrication Methods Thereof
App 20210210390 - Yun; Wei-Sheng ;   et al.
2021-07-08
Epitaxial Features Confined by Dielectric Fins and Spacers
App 20210210618 - Chiang; Kuo-Cheng ;   et al.
2021-07-08
FinFET Device With High-K Metal Gate Stack
App 20210202743 - Chiang; Kuo-Cheng ;   et al.
2021-07-01
Self-aligned Structure For Semiconductor Devices
App 20210193531 - CHIANG; Kuo-Cheng ;   et al.
2021-06-24
Dual Crystal Orientation For Semiconductor Devices
App 20210193535 - CHIANG; Kuo-Cheng ;   et al.
2021-06-24
Semiconductor device structure and method for forming the same
Grant 11,038,061 - Chiang , et al. June 15, 2
2021-06-15
Semiconductor device structure and method for forming the same
Grant 11,038,058 - Chiang , et al. June 15, 2
2021-06-15
Multi-gate device and related methods
Grant 11,031,292 - Ju , et al. June 8, 2
2021-06-08
Semiconductor Structure With Hybrid Nanostructures And Method For Forming The Same
App 20210159311 - LAN; Wen-Ting ;   et al.
2021-05-27
Integrated circuit with a fin and gate structure and method making the same
Grant 11,018,246 - Chiang , et al. May 25, 2
2021-05-25
Liner for a bi-layer gate helmet and the fabrication thereof
Grant 11,011,625 - Su , et al. May 18, 2
2021-05-18
Structure And Formation Method Of Semiconductor Device With Stressor
App 20210135008 - CHIANG; Kuo-Cheng ;   et al.
2021-05-06
Work Function Design To Increase Density Of Nanosheet Devices
App 20210134794 - Huang; Mao-Lin ;   et al.
2021-05-06
Structure And Formation Method Of Semiconductor Device With Stressor
App 20210135011 - JU; Shi-Ning ;   et al.
2021-05-06
Semiconductor Device And Method Of Manufacturing The Same
App 20210134677 - Pan; Kuan-Ting ;   et al.
2021-05-06
Backside Power Rail Structure and Methods of Forming Same
App 20210134721 - Chiang; Kuo-Cheng ;   et al.
2021-05-06
A Method (and Related Apparatus) For Forming A Semiconductor Device With Reduced Spacing Between Nanostructure Field-effect Transistors
App 20210134797 - Lin; Zhi-Chang ;   et al.
2021-05-06
Tuning Threshold Voltage In Nanosheet Transitor Devices
App 20210134950 - Hsu; Chung-Wei ;   et al.
2021-05-06
Structure And Formation Method Of Semiconductor Device With Isolation Structure
App 20210134795 - JU; Shi-Ning ;   et al.
2021-05-06
FinFET structure and method for fabricating the same
Grant 10,998,425 - Chiang , et al. May 4, 2
2021-05-04
Semiconductor Devices and Methods
App 20210126097 - Ju; Shi Ning ;   et al.
2021-04-29
Semiconductor Devices And Methods Of Manufacture
App 20210126113 - Lin; Zhi-Chang ;   et al.
2021-04-29
Etch profile control of polysilicon structures of semiconductor devices
Grant 10,985,072 - Chiang , et al. April 20, 2
2021-04-20
Semiconductor Structure And Method For Forming The Same
App 20210098455 - YU; JIA-NI ;   et al.
2021-04-01
Multi-gate Devices And Method Of Fabricating The Same
App 20210098304 - Chang; Lo-Heng ;   et al.
2021-04-01
Input/Output Semiconductor Devices
App 20210098456 - Huang; Mao-Lin ;   et al.
2021-04-01
Multi-gate Device And Related Methods
App 20210098302 - JU; Shi Ning ;   et al.
2021-04-01
Devices Including Gate Spacer with Gap or Void and Methods of Forming the Same
App 20210098609 - Chiang; Kuo-Cheng ;   et al.
2021-04-01
Hybrid Scheme for Improved Performance for P-type and N-type FinFETs
App 20210098459 - Chiang; Kuo-Cheng ;   et al.
2021-04-01
Semiconductor Device Structure And Method For Forming The Same
App 20210098625 - TSAI; Ching-Wei ;   et al.
2021-04-01
Selective Inner Spacer Implementations
App 20210098605 - Wang; Pei-Hsun ;   et al.
2021-04-01
Semiconductor Structure And Method Of Fabricating The Semiconductor Structure
App 20210098573 - CHEN; GUAN-LIN ;   et al.
2021-04-01
Structure and Method for FinFET Device with Buried Sige Oxide
App 20210083079 - Chiang; Kuo-Cheng ;   et al.
2021-03-18
Self-aligned structure for semiconductor devices
Grant 10,943,830 - Chiang , et al. March 9, 2
2021-03-09
Silicon and silicon germanium nanowire formation
Grant 10,943,833 - Chiang , et al. March 9, 2
2021-03-09
Methods Of Fabricating Semiconductor Devices With Mixed Threshold Voltages Boundary Isolation Of Multiple Gates And Structures Formed Thereby
App 20210066136 - CHIANG; Kuo-Cheng ;   et al.
2021-03-04
Uniform Gate Width for Nanostructure Devices
App 20210066294 - Huang; Jui-Chien ;   et al.
2021-03-04
Gate-All-Around Device with Trimmed Channel and Dipoled Dielectric Layer and Methods of Forming the Same
App 20210066137 - Hsu; Chung-Wei ;   et al.
2021-03-04
Mixed workfunction metal for nanosheet device
Grant 10,937,704 - Chiang , et al. March 2, 2
2021-03-02
Semiconductor Device Structure With Hard Mask Layer Over Fin Structure And Method For Forming The Same
App 20210057535 - CHIANG; Kuo-Cheng ;   et al.
2021-02-25
Integrated Circuits with Backside Power Rails
App 20210057325 - Chou; Chih-Chao ;   et al.
2021-02-25
Gate-all-around Field-effect Transistor Device
App 20210057525 - Chiang; Kuo-Cheng ;   et al.
2021-02-25
Method Of Manufacturing A Semiconductor Device And A Semiconductor Device
App 20210057539 - CHIANG; Kuo-Cheng ;   et al.
2021-02-25
Dual crystal orientation for semiconductor devices
Grant 10,930,569 - Chiang , et al. February 23, 2
2021-02-23
Methods Of Fabricating Semiconductor Devices For Tightening Spacing Between Nanosheets In Gaa Structures And Structures Formed Thereby
App 20200411387 - CHIANG; Kuo-Cheng ;   et al.
2020-12-31
Buried power rail and method forming same
Grant 10,872,818 - Chiang , et al. December 22, 2
2020-12-22
Hybrid scheme for improved performance for P-type and N-type FinFETs
Grant 10,868,014 - Chiang , et al. December 15, 2
2020-12-15
Methods of fabricating semiconductor devices with mixed threshold voltages boundary isolation of multiple gates and structures formed thereby
Grant 10,867,867 - Chiang , et al. December 15, 2
2020-12-15
Hybrid scheme for improved performance for P-type and N-type FinFETs
Grant 10,868,015 - Chiang , et al. December 15, 2
2020-12-15
FinFETs with source/drain cladding
Grant 10,868,186 - Chiang , et al. December 15, 2
2020-12-15
Devices including gate spacer with gap or void and methods of forming the same
Grant 10,868,150 - Chiang , et al. December 15, 2
2020-12-15
Metal Rail Conductors For Non-planar Semiconductor Devices
App 20200388706 - CHEN; Chih-Liang ;   et al.
2020-12-10
Fin Isolation Structures Of Semiconductor Devices
App 20200388542 - CHIANG; Kuo-Cheng ;   et al.
2020-12-10
Inner Spacers for Gate-All-Around Transistors
App 20200381545 - Chiang; Kuo-Cheng ;   et al.
2020-12-03
Semiconductor Device Structure With Silicide And Method For Forming The Same
App 20200381257 - LIN; Chun-Hsiung ;   et al.
2020-12-03
Semiconductor Device Structure And Method For Forming The Same
App 20200343377 - CHIANG; Kuo-Cheng ;   et al.
2020-10-29
Semiconductor Device Structure And Method For Forming The Same
App 20200343376 - CHIANG; Kuo-Cheng ;   et al.
2020-10-29
Method of manufacturing a semiconductor device and a semiconductor device
Grant 10,818,777 - Chiang , et al. October 27, 2
2020-10-27
Semiconductor Device Structure And Method For Forming The Same
App 20200328208 - CHIANG; Kuo-Cheng ;   et al.
2020-10-15
Nanostructure With Various Widths And Methods For Manufacturing The Same
App 20200328123 - LIU; Hsiao-Han ;   et al.
2020-10-15
Dual channel gate all around transistor device and fabrication methods thereof
Grant 10,804,162 - Wang , et al. October 13, 2
2020-10-13
FinFETs with Source/Drain Cladding
App 20200321461 - Chiang; Kuo-Cheng ;   et al.
2020-10-08
Hybrid Scheme for Improved Performance for P-type and N-type FinFETs
App 20200312848 - Chiang; Kuo-Cheng ;   et al.
2020-10-01
Fin Spacer Protected Source and Drain Regions in FinFETs
App 20200303258 - Chiang; Kuo-Cheng ;   et al.
2020-09-24
Methods Of Fabricating Semiconductor Devices With Mixed Threshold Voltages Boundary Isolation Of Multiple Gates And Structures Formed Thereby
App 20200294863 - CHIANG; Kuo-Cheng ;   et al.
2020-09-17
Wrap Around Silicide for FinFETs
App 20200287041 - Chiang; Kuo-Cheng ;   et al.
2020-09-10
Finfet Devices And Methods Of Forming
App 20200279781 - Chiang; Kuo-Cheng ;   et al.
2020-09-03
Stacked gate-all-around FinFET and method forming the same
Grant 10,763,368 - Chiang , et al. Sep
2020-09-01
Silicon and Silicon Germanium Nanowire Formation
App 20200273757 - Ng; Jin-Aun ;   et al.
2020-08-27
Fin isolation structures of semiconductor devices
Grant 10,755,983 - Chiang , et al. A
2020-08-25
Semiconductor Structure And Method For Manufacturing The Same
App 20200266192 - JU; SHI NING ;   et al.
2020-08-20
Semiconductor device structure with silicide and method for forming the same
Grant 10,748,775 - Lin , et al. A
2020-08-18
FinFET with a semiconductor strip as a base
Grant 10,727,314 - Chiang , et al.
2020-07-28
Semiconductor liner of semiconductor device
Grant 10,727,301 - Chiang , et al.
2020-07-28
Method Of Manufacturing A Semiconductor Device And A Semiconductor Device
App 20200227534 - CHIANG; Kuo-Cheng ;   et al.
2020-07-16
FinFETs with source/drain cladding
Grant 10,707,349 - Chiang , et al.
2020-07-07
Fin spacer protected source and drain regions in FinFETs
Grant 10,679,900 - Chiang , et al.
2020-06-09
Wrap Around Silicide for FinFETs
Grant 10,665,718 - Chiang , et al.
2020-05-26
FinFET devices and methods of forming
Grant 10,658,247 - Chiang , et al.
2020-05-19
Hybrid Scheme for Improved Performance for P-type and N-type FinFETs
App 20200152632 - Chiang; Kuo-Cheng ;   et al.
2020-05-14
Dual Channel Gate All Around Transistor Device and Fabrication Methods Thereof
App 20200144133 - Wang; Chih-Hao ;   et al.
2020-05-07
Structure And Formation Method Of Semiconductor Device With Hybrid Fins
App 20200135729 - NG; Jin-Aun ;   et al.
2020-04-30
Buried Power Rail and Method Forming Same
App 20200135634 - Chiang; Kuo-Cheng ;   et al.
2020-04-30
Integrated Circuit with a Fin and Gate Structure and Method Making the Same
App 20200135890 - Chiang; Kuo-Cheng ;   et al.
2020-04-30
Forming gate stacks of FinFETs through oxidation
Grant 10,622,480 - Chiang , et al.
2020-04-14
Devices Including Gate Spacer with Gap or Void and Methods of Forming the Same
App 20200111897 - Chiang; Kuo-Cheng ;   et al.
2020-04-09
Semiconductor Device Structure With Silicide And Method For Forming The Same
App 20200105535 - LIN; Chun-Hsiung ;   et al.
2020-04-02
Dual Channel Gate All Around Transistor Device and Fabrication Methods Thereof
App 20200105617 - Wang; Chih-Hao ;   et al.
2020-04-02
Gate Structure and Patterning Method
App 20200105623 - Chu; Lung-Kun ;   et al.
2020-04-02
Liner For A Bi-layer Gate Helmet And The Fabrication Thereof
App 20200098622 - Su; Huan-Chieh ;   et al.
2020-03-26
Silicon and Silicon Germanium Nanowire Formation
App 20200051870 - Chiang; Kuo-Cheng ;   et al.
2020-02-13
Stacked Gate-All-Around FinFET and Method Forming the Same
App 20200044088 - Chiang; Kuo-Cheng ;   et al.
2020-02-06
Hybrid scheme for improved performance for P-type and N-type FinFETs
Grant 10,535,656 - Chiang , et al. Ja
2020-01-14
Tuning Tensile Strain on FinFET
App 20200006344 - Chiang; Kuo-Cheng ;   et al.
2020-01-02
Devices including gate spacer with gap or void and methods of forming the same
Grant 10,505,022 - Chiang , et al. Dec
2019-12-10
FINFETS with Wrap-Around Silicide and Method Forming the Same
App 20190355850 - Chiang; Kuo-Cheng ;   et al.
2019-11-21
Recessing STI to Increase FIN Height in FIN-First Process
App 20190245066 - Chiang; Kuo-Cheng ;   et al.
2019-08-08
Semiconductor Liner of Semiconductor Device
App 20190245040 - Chiang; Kuo-Cheng ;   et al.
2019-08-08
Structure for protecting metal-insulator-metal capacitor in memory device from charge damage
Grant 8,853,762 - Tu , et al. October 7, 2
2014-10-07
Structure For Protecting Metal-insulator-metal Capacitor In Memory Device From Charge Damage
App 20100127316 - TU; Kuo-Chi ;   et al.
2010-05-27

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed