loadpatents
name:-0.06495213508606
name:-0.05853796005249
name:-0.0095820426940918
Chern; Chyi Shyuan Patent Filings

Chern; Chyi Shyuan

Patent Applications and Registrations

Patent applications and USPTO patent grants for Chern; Chyi Shyuan.The latest application filed is for "method for forming a semiconductor structure using dehydrating chemical, and method for forming a semiconductor structure".

Company Profile
8.66.60
  • Chern; Chyi Shyuan - Taipei TW
  • CHERN; CHYI SHYUAN - TAIPEI CITY TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Ellipsometer and method for estimating thickness of film
Grant 11,255,658 - Hsu , et al. February 22, 2
2022-02-22
Apparatus and method for generating an electromagnetic radiation
Grant 11,199,767 - Lee , et al. December 14, 2
2021-12-14
Apparatus and method for generating an electromagnetic radiation
Grant 11,153,957 - Hsu , et al. October 19, 2
2021-10-19
Method For Forming A Semiconductor Structure Using Dehydrating Chemical, And Method For Forming A Semiconductor Structure
App 20210265221 - LEE; CHUNG-CHIEH ;   et al.
2021-08-26
Method of manufacturing semiconductor devices
App 20210217670 - Yin; Li-Chao ;   et al.
2021-07-15
Method for forming a semiconductor structure using dehydrating chemical, and method for forming a semiconductor structure
Grant 11,004,746 - Lee , et al. May 11, 2
2021-05-11
Ellipsometer And Method For Estimating Thickness Of Film
App 20200386539 - HSU; FENG YUAN ;   et al.
2020-12-10
Ellipsometer and method for estimating thickness of film
Grant 10,760,896 - Hsu , et al. Sep
2020-09-01
Method For Forming A Semiconductor Structure Using Dehydrating Chemical, And Method For Forming A Semiconductor Structure
App 20200168508 - LEE; CHUNG-CHIEH ;   et al.
2020-05-28
Apparatus And Method For Generating An Electromagnetic Radiation
App 20200137863 - HSU; TZU JENG ;   et al.
2020-04-30
Apparatus And Method For Generating An Electromagnetic Radiation
App 20200117076 - LEE; CHUNG-CHIEH ;   et al.
2020-04-16
Ellipsometer And Method For Estimating Thickness Of Film
App 20200072598 - HSU; FENG YUAN ;   et al.
2020-03-05
Wet Chemical Heating System And A Method Of Chemical Mechanical Polishing
App 20200070301 - CUI; JI JAMES ;   et al.
2020-03-05
Apparatus and method for generating an electromagnetic radiation
Grant 10,509,311 - Lee , et al. Dec
2019-12-17
Apparatus And Method For Generating An Electromagnetic Radiation
App 20190369481 - LEE; CHUNG-CHIEH ;   et al.
2019-12-05
Multi-zone temperature control for semiconductor wafer
Grant 10,113,233 - Chang , et al. October 30, 2
2018-10-30
Pellicle and method for manufacturing the same
Grant 9,864,270 - Ma , et al. January 9, 2
2018-01-09
Pellicle And Method For Manufacturing The Same
App 20170205705 - Ma; Jeng-Shin ;   et al.
2017-07-20
Multi-zone Temperature Control For Semiconductor Wafer
App 20170022611 - CHANG; Chun-Lin ;   et al.
2017-01-26
LED device with improved thermal performance
Grant 9,379,299 - Hsia , et al. June 28, 2
2016-06-28
Doubled substrate multi-junction light emitting diode array structure
Grant 9,349,712 - Yu , et al. May 24, 2
2016-05-24
Optimizing light extraction efficiency for an LED wafer
Grant 9,324,624 - Chern , et al. April 26, 2
2016-04-26
Light emitting diode
Grant 9,324,910 - Wu , et al. April 26, 2
2016-04-26
Method and apparatus for accurate die-to-wafer bonding
Grant 9,287,478 - Chern , et al. March 15, 2
2016-03-15
Methods of forming through silicon via openings
Grant 9,224,636 - Chern , et al. December 29, 2
2015-12-29
Integration of bottom-up metal film deposition
Grant 9,214,543 - Lin , et al. December 15, 2
2015-12-15
Light emitting diode emitter substrate with highly reflective metal bonding
Grant 9,099,632 - Chern , et al. August 4, 2
2015-08-04
Multi-zone Temperature Control For Semiconductor Wafer
App 20150211122 - CHANG; Chun-Lin ;   et al.
2015-07-30
Doubled Substrate Multi-junction Light Emitting Diode Array Structure
App 20150162315 - Yu; Chih-Kuang ;   et al.
2015-06-11
Methods for forming integrated circuits
Grant 9,048,186 - Huang , et al. June 2, 2
2015-06-02
Led Device With Improved Thermal Performance
App 20150140703 - Hsia; Hsing-Kuo ;   et al.
2015-05-21
Multi-zone temperature control for semiconductor wafer
Grant 9,023,664 - Chang , et al. May 5, 2
2015-05-05
Refractive index tuning of wafer level package LEDs
Grant 9,024,341 - Lee , et al. May 5, 2
2015-05-05
Shadow mask assembly
Grant 9,000,455 - Lee , et al. April 7, 2
2015-04-07
LED device with improved thermal performance
Grant 8,993,447 - Hsia , et al. March 31, 2
2015-03-31
Light Emitting Diode And Method Of Fabrication Thereof
App 20150083998 - Wu; Hsin-Hsien ;   et al.
2015-03-26
Double substrate multi-junction light emitting diode array structure
Grant 8,962,358 - Yu , et al. February 24, 2
2015-02-24
N/P metal crystal orientation for high-k metal gate Vt modulation
Grant 8,932,921 - Lin , et al. January 13, 2
2015-01-13
Light emitting diode and method of fabrication thereof
Grant 8,906,712 - Wu , et al. December 9, 2
2014-12-09
Shadow Mask Assembly
App 20140252380 - Lee; Ming-Shing ;   et al.
2014-09-11
Method and Apparatus for Accurate Die-to-Wafer Bonding
App 20140239323 - Chern; Chyi Shyuan ;   et al.
2014-08-28
Methods of Forming Through Silicon Via Openings
App 20140235053 - Chern; Chyi Shyuan ;   et al.
2014-08-21
N/P METAL CRYSTAL ORIENTATION FOR HIGH-K METAL GATE Vt MODULATION
App 20140154848 - Lin; Su-Horng ;   et al.
2014-06-05
Method and apparatus for accurate die-to-wafer bonding
Grant 8,722,436 - Chern , et al. May 13, 2
2014-05-13
Methods of forming through silicon via openings
Grant 8,716,128 - Chern , et al. May 6, 2
2014-05-06
N/P metal crystal orientation for high-K metal gate Vt modulation
Grant 8,674,451 - Lin , et al. March 18, 2
2014-03-18
Method and Apparatus for Accurate Die-to-Wafer Bonding
App 20140065741 - Chern; Chyi Shyuan ;   et al.
2014-03-06
Piping system and control for semiconductor processing
Grant 8,623,141 - Chang , et al. January 7, 2
2014-01-07
Method and apparatus for accurate die-to-wafer bonding
Grant 8,609,446 - Chern , et al. December 17, 2
2013-12-17
Methods of fabricating light emitting diode packages
Grant 8,598,617 - Yu , et al. December 3, 2
2013-12-03
Method for fabricating an isolation structure
Grant 8,580,653 - Lee , et al. November 12, 2
2013-11-12
Integration Of Bottom-up Metal Film Deposition
App 20130270617 - LIN; Simon Su-Horng ;   et al.
2013-10-17
Optimizing Light Extraction Efficiency For An Led Wafer
App 20130260484 - Chern; Chyi-Shyuan ;   et al.
2013-10-03
Method For Fabricating An Isolation Structure
App 20130171803 - LEE; Tze-Liang ;   et al.
2013-07-04
Multi-zone Temperature Control For Semiconductor Wafer
App 20130171746 - Chang; Chun-Lin ;   et al.
2013-07-04
Integration of bottom-up metal film deposition
Grant 8,466,063 - Lin , et al. June 18, 2
2013-06-18
Method And Apparatus For Accurate Die-to-wafer Bonding
App 20130089937 - Chern; Chyi Shyuan ;   et al.
2013-04-11
LED device with improved thermal performance
Grant 8,415,684 - Hsia , et al. April 9, 2
2013-04-09
Multi-zone temperature control for semiconductor wafer
Grant 8,404,572 - Chang , et al. March 26, 2
2013-03-26
Method for fabricating an isolation structure
Grant 8,404,561 - Lee , et al. March 26, 2
2013-03-26
Methods of fabricating light emitting diode devices
Grant 8,349,628 - Chen , et al. January 8, 2
2013-01-08
Led Phosphor Patterning
App 20120305956 - Liu; Fu-Wen ;   et al.
2012-12-06
Light Emitting Diode And Method Of Fabrication Thereof
App 20120292629 - Wu; Hsin-Hsien ;   et al.
2012-11-22
Methods of Fabricating Light Emitting Diode Packages
App 20120286240 - Yu; Chih-Kuang ;   et al.
2012-11-15
Methods Of Forming Through Silicon Via Openings
App 20120264296 - CHERN; Chyi Shyuan ;   et al.
2012-10-18
Double Substrate Multi-junction Light Emitting Diode Array Structure
App 20120256187 - Yu; Chih-Kuang ;   et al.
2012-10-11
Slurry dispenser for chemical mechanical polishing (CMP) apparatus and method
Grant 8,277,286 - Hung , et al. October 2, 2
2012-10-02
Methods Of Fabricating Light Emitting Diode Devices
App 20120244652 - CHEN; Yung-Chang ;   et al.
2012-09-27
Light Emitting Diode Emitter Substrate with Highly Reflective Metal Bonding
App 20120228650 - Chern; Chyi Shyuan ;   et al.
2012-09-13
Method Of Forming A Light Emitting Diode Emitter Substrate With Highly Reflective Metal Bonding
App 20120205694 - Chern; Chyi Shyuan ;   et al.
2012-08-16
Methods of fabricating light emitting diode packages
Grant 8,241,932 - Yu , et al. August 14, 2
2012-08-14
Method and system for controlling an implantation process
Grant 8,241,924 - Cheng , et al. August 14, 2
2012-08-14
Device with aluminum surface protection
Grant 8,237,231 - Huang , et al. August 7, 2
2012-08-07
Field-by-field laser annealing and feed forward process control
Grant 8,188,447 - Yang , et al. May 29, 2
2012-05-29
Led Device With Improved Thermal Performance
App 20120119228 - Hsia; Hsing-Kuo ;   et al.
2012-05-17
Refractive Index Tuning Of Wafer Level Package Leds
App 20120104435 - LEE; Hsiao-Wen ;   et al.
2012-05-03
Device With Aluminum Surface Protection
App 20120086075 - HUANG; Kuo Bin ;   et al.
2012-04-12
Integration Of Bottom-up Metal Film Deposition
App 20120064715 - LIN; Simon Su-Horng ;   et al.
2012-03-15
High temperature anneal for aluminum surface protection
Grant 8,119,473 - Huang , et al. February 21, 2
2012-02-21
Integration of bottom-up metal film deposition
Grant 8,088,685 - Lin , et al. January 3, 2
2012-01-03
Integration Of Bottom-up Metal Film Deposition
App 20110195570 - Lin; Simon Su-Horng ;   et al.
2011-08-11
High Temperature Anneal for Aluminum Surface Protection
App 20110156166 - Huang; Kuo Bin ;   et al.
2011-06-30
Retainer ring
Grant 7,950,983 - Huang , et al. May 31, 2
2011-05-31
Methods For Forming Integrated Circuits
App 20110086504 - HUANG; Kuo Bin ;   et al.
2011-04-14
Formation of shallow trench isolation using chemical vapor etch
Grant 7,919,335 - Xiao , et al. April 5, 2
2011-04-05
Electroplating systems and methods
Grant 7,837,850 - Guo , et al. November 23, 2
2010-11-23
Method For Fabricating An Isolation Structure
App 20100291751 - LEE; Tze-Liang ;   et al.
2010-11-18
Piping System And Control For Semiconductor Processing
App 20100288369 - CHANG; Yi-Pin ;   et al.
2010-11-18
System And Method For Conditioning Chemical Mechanical Polishing Apparatus Using Multiple Conditioning Disks
App 20100291840 - HUANG; Soon Kang ;   et al.
2010-11-18
Formation of Shallow Trench Isolation Using Chemical Vapor Etch
App 20100267172 - XIAO; Ying ;   et al.
2010-10-21
Method And System For Controlling An Implantation Process
App 20100221849 - Cheng; Nai-Han ;   et al.
2010-09-02
Multi-zone Temperature Control For Semiconductor Wafer
App 20100210041 - Chang; Chun-Lin ;   et al.
2010-08-19
Slurry Dispenser For Chemical Mechanical Polishing (cmp) Apparatus And Method
App 20100210189 - Hung; Kun-Ku ;   et al.
2010-08-19
Method of fabricating high-k metal gate devices
Grant 7,776,757 - Lin , et al. August 17, 2
2010-08-17
Field-by-field Laser Annealing And Feed Forward Process Control
App 20100187444 - Yang; Chung-Ru ;   et al.
2010-07-29
Method Of Fabricating High-k Metal Gate Devices
App 20100178772 - Lin; Simon Su-Horng ;   et al.
2010-07-15
Inductive Plasma Doping
App 20100167506 - LIN; Simon Su-Horng ;   et al.
2010-07-01
Spinner And Method Of Cleaning Substrate Using The Spinner
App 20100163078 - Hsu; Tzu-Jeng ;   et al.
2010-07-01
N/P METAL CRYSTAL ORIENTATION FOR HIGH-K METAL GATE Vt MODULATION
App 20100140716 - Lin; Simon Su-Horng ;   et al.
2010-06-10
High selectivity etching process for metal gate N/P patterning
Grant 7,732,344 - Tsai , et al. June 8, 2
2010-06-08
Gate Structure Including Modified High-k Gate Dielectric And Metal Gate Interface
App 20100109098 - Lin; Simon Su-Horng ;   et al.
2010-05-06
Retainer Ring
App 20100112912 - Huang; Soon Kang ;   et al.
2010-05-06
Retainer ring
Grant 7,666,068 - Huang , et al. February 23, 2
2010-02-23
Retainer Ring
App 20080293339 - Huang; Soon Kang ;   et al.
2008-11-27
Systems And Methods For Detecting Device-under-test Dependency
App 20070082581 - Chien; Volume ;   et al.
2007-04-12
Electroplating systems and methods
App 20070068818 - Guo; Ming-Da ;   et al.
2007-03-29
Systems and methods for detecting device-under-test dependency
Grant 7,195,537 - Chien , et al. March 27, 2
2007-03-27

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed