loadpatents
name:-0.046534061431885
name:-0.058120965957642
name:-0.013415813446045
Cher; Chen-Yong Patent Filings

Cher; Chen-Yong

Patent Applications and Registrations

Patent applications and USPTO patent grants for Cher; Chen-Yong.The latest application filed is for "reliability-aware runtime optimal processor configuration".

Company Profile
9.54.46
  • Cher; Chen-Yong - Port Chester NY
  • Cher; Chen-Yong - Yorktown Heights NY
  • Cher; Chen-Yong - Post Chester NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Network resiliency through memory health monitoring and proactive management
Grant 11,121,951 - Andrade Costa , et al. September 14, 2
2021-09-14
Chip transient temperature predictor
Grant 10,948,955 - Cher , et al. March 16, 2
2021-03-16
Reliability-aware runtime optimal processor configuration
Grant 10,896,146 - Swaminathan , et al. January 19, 2
2021-01-19
Dynamic predictor of semiconductor lifetime limits
Grant 10,746,785 - Cher , et al. A
2020-08-18
Reliability-aware Runtime Optimal Processor Configuration
App 20200159691 - Swaminathan; Karthik V. ;   et al.
2020-05-21
Optimization of application workflow in mobile embedded devices
Grant 10,635,490 - Bertran Monfort , et al.
2020-04-28
Checkpoint triggering in a computer system
Grant 10,585,753 - Cher
2020-03-10
Chip Transient Temperature Predictor
App 20200057479 - CHER; CHEN-YONG ;   et al.
2020-02-20
Checkpointing using compute node health information
Grant 10,545,839 - Andrade Costa , et al. Ja
2020-01-28
Chip transient temperature predictor
Grant 10,528,097 - Cher , et al. J
2020-01-07
Autonomic supply voltage compensation for degradation of circuits over circuit lifetime
Grant 10,365,702 - Cher , et al. July 30, 2
2019-07-30
Checkpointing Using Compute Node Health Information
App 20190196920 - ANDRADE COSTA; Carlos Henrique ;   et al.
2019-06-27
Method and apparatus for selective and power-aware memory error protection and memory management
Grant 10,141,955 - Andrade Costa , et al. Nov
2018-11-27
Checkpoint Triggering In A Computer System
App 20180329779 - Cher; Chen-Yong
2018-11-15
Autonomic Supply Voltage Compensation for Degradation of Circuits over Circuit Lifetime
App 20180292879 - Cher; Chen-Yong ;   et al.
2018-10-11
Checkpoint triggering in a computer system
Grant 10,089,181 - Cher October 2, 2
2018-10-02
Methods, apparatus and system for selective duplication of subtasks
Grant 10,073,739 - Andrade Costa , et al. September 11, 2
2018-09-11
Multi-petascale highly efficient parallel supercomputer
Grant 9,971,713 - Asaad , et al. May 15, 2
2018-05-15
Network Resiliency Through Memory Health Monitoring And Proactive Management
App 20180097712 - Andrade Costa; Carlos H. ;   et al.
2018-04-05
Dynamic Predictor Of Semiconductor Lifetime Limits
App 20180038906 - Cher; Chen-Yong ;   et al.
2018-02-08
On-chip leakage measurement
Grant 9,863,994 - Cher , et al. January 9, 2
2018-01-09
Network resiliency through memory health monitoring and proactive management
Grant 9,825,827 - Andrade Costa , et al. November 21, 2
2017-11-21
Chip Transient Temperature Predictor
App 20170261380 - Cher; Chen-Yong ;   et al.
2017-09-14
On-chip Leakage Measurement
App 20170254846 - Cher; Chen-Yong ;   et al.
2017-09-07
Optimization of application workflow in mobile embedded devices
Grant 9,690,555 - Bertran Monfort , et al. June 27, 2
2017-06-27
Silent store detection and recording in memory storage
Grant 9,594,558 - Bose , et al. March 14, 2
2017-03-14
Optimization Of Application Workflow In Mobile Embedded Devices
App 20170068521 - Bertran Monfort; Ramon ;   et al.
2017-03-09
Silent store detection and recording in memory storage
Grant 9,588,767 - Bose , et al. March 7, 2
2017-03-07
Silent store detection and recording in memory storage
Grant 9,588,768 - Bose , et al. March 7, 2
2017-03-07
Methods, apparatus and system for notification of predictable memory failure
Grant 9,535,774 - Cher , et al. January 3, 2
2017-01-03
Silent Store Detection And Recording In Memory Storage
App 20160378367 - Bose; Pradip ;   et al.
2016-12-29
Silent Store Detection And Recording In Memory Storage
App 20160378374 - Bose; Pradip ;   et al.
2016-12-29
Optimization Of Application Workflow In Mobile Embedded Devices
App 20160378550 - Bertran Monfort; Ramon ;   et al.
2016-12-29
Silent Store Detection And Recording In Memory Storage
App 20160378403 - Bose; Pradip ;   et al.
2016-12-29
Processor register error correction management
Grant 9,529,653 - Bose , et al. December 27, 2
2016-12-27
Checkpoint Triggering In A Computer System
App 20160306705 - Cher; Chen-Yong
2016-10-20
Method And Apparatus For Selective And Power-aware Memory Error Protection And Memory Management
App 20160301428 - Andrade Costa; Carlos H. ;   et al.
2016-10-13
Silent store detection and recording in memory storage
Grant 9,448,798 - Bose , et al. September 20, 2
2016-09-20
Checkpoint triggering in a computer system
Grant 9,436,552 - Cher September 6, 2
2016-09-06
Load synchronization with streaming thread cohorts
Grant 9,417,882 - Brunheroto , et al. August 16, 2
2016-08-16
Method and apparatus for faulty memory utilization
Grant 9,317,350 - Cher , et al. April 19, 2
2016-04-19
Processor Register Error Correction Management
App 20160103736 - Bose; Pradip ;   et al.
2016-04-14
Methods, apparatus and system for selective duplication of subtasks
Grant 9,298,553 - Andrade Costa , et al. March 29, 2
2016-03-29
Methods, Apparatus And System For Selective Duplication Of Subtasks
App 20160085640 - Andrade Costa; Carlos H. ;   et al.
2016-03-24
Checkpointing for a hybrid computing node
Grant 9,280,383 - Cher March 8, 2
2016-03-08
Network Resiliency Through Memory Health Monitoring And Proactive Management
App 20160042280 - ANDRADE COSTA; CARLOS H. ;   et al.
2016-02-11
Malicious activity detection of a processing thread
Grant 9,251,340 - Cher , et al. February 2, 2
2016-02-02
Multi-petascale Highly Efficient Parallel Supercomputer
App 20160011996 - Asaad; Sameh ;   et al.
2016-01-14
Malicious activity detection of a processing thread
Grant 9,218,488 - Cher , et al. December 22, 2
2015-12-22
Checkpoint Triggering In A Computer System
App 20150363277 - Cher; Chen-Yong
2015-12-17
Checkpointing For A Hybrid Computing Node
App 20150363225 - Cher; Chen-Yong
2015-12-17
Malicious activity detection of a functional unit
Grant 9,172,714 - Cher , et al. October 27, 2
2015-10-27
Managing High Performance Storage Systems With Hybrid Storage Technologies
App 20150268856 - Cher; Chen-Yong ;   et al.
2015-09-24
Methods, Apparatus And System For Selective Duplication Of Subtasks
App 20150227426 - Andrade Costa; Carlos H. ;   et al.
2015-08-13
Malicious activity detection of a functional unit
Grant 9,088,597 - Cher , et al. July 21, 2
2015-07-21
Multi-petascale highly efficient parallel supercomputer
Grant 9,081,501 - Asaad , et al. July 14, 2
2015-07-14
Load Synchronization With Streaming Thread Cohorts
App 20150178089 - Brunheroto; Jose R. ;   et al.
2015-06-25
Method And Apparatus For Faulty Memory Utilization
App 20150074367 - Cher; Chen-Yong ;   et al.
2015-03-12
Methods, Apparatus And System For Notification Of Predictable Memory Failure
App 20150074469 - Cher; Chen-Yong ;   et al.
2015-03-12
Malicious Activity Detection of a Processing Thread
App 20150067847 - Cher; Chen-Yong ;   et al.
2015-03-05
Malicious Activity Detection of a Processing Thread
App 20150067852 - Cher; Chen-Yong ;   et al.
2015-03-05
Malicious Activity Detection of a Functional Unit
App 20150067851 - Cher; Chen-Yong ;   et al.
2015-03-05
Malicious Activity Detection of a Functional Unit
App 20150067846 - Cher; Chen-Yong ;   et al.
2015-03-05
Power management for systems on a chip
Grant 8,892,921 - Cher , et al. November 18, 2
2014-11-18
Hybrid caching techniques and garbage collection using hybrid caching techniques
Grant 8,738,859 - Cher , et al. May 27, 2
2014-05-27
Method and system for controlling power in a chip through a power performance monitor and control unit
Grant 8,639,955 - Bose , et al. January 28, 2
2014-01-28
Efficiency of static core turn-off in a system-on-a-chip with variation
Grant 8,571,847 - Cher , et al. October 29, 2
2013-10-29
Reliability and performance of a system-on-a-chip by predictive wear-out based activation of functional components
Grant 8,549,363 - Cher , et al. October 1, 2
2013-10-01
Three-dimensional (3D) stacked integrated circuit testing
Grant 8,542,030 - Cher , et al. September 24, 2
2013-09-24
Thermal cycling and gradient management in three-dimensional stacked architectures
Grant 8,489,217 - Cher , et al. July 16, 2
2013-07-16
Hybrid Caching Techniques and Garbage Collection Using Hybrid Caching Techniques
App 20130013863 - Cher; Chen-Yong ;   et al.
2013-01-10
Power management for systems on a chip
Grant 8,312,305 - Cher , et al. November 13, 2
2012-11-13
Hybrid caching techniques and garbage collection using hybrid caching techniques
Grant 8,312,219 - Cher , et al. November 13, 2
2012-11-13
Power Management For Systems On A Chip
App 20120284542 - CHER; CHEN-YONG ;   et al.
2012-11-08
Thermal Cycling and Gradient Management in Three-Dimensional Stacked Architectures
App 20120173036 - Cher; Chen-Yong ;   et al.
2012-07-05
Three-dimensional (3d) Stacked Integrated Circuit Testing
App 20120112776 - Cher; Chen-Yong ;   et al.
2012-05-10
Method And System For Controlling Power In A Chip Through A Power-performance Monitor And Control Unit
App 20120054528 - BOSE; PRADIP ;   et al.
2012-03-01
Method and system for controlling power in a chip through a power-performance monitor and control unit
Grant 8,112,642 - Bose , et al. February 7, 2
2012-02-07
Multi-petascale Highly Efficient Parallel Supercomputer
App 20110219208 - Asaad; Sameh ;   et al.
2011-09-08
Power Management for Systems On a Chip
App 20110191603 - Cher; Chen-Yong ;   et al.
2011-08-04
Efficiency Of Static Core Turn-off In A System-on-a-chip With Variation
App 20110172984 - Cher; Chen-Yong ;   et al.
2011-07-14
Reliability And Performance Of A System-on-a-chip By Predictive Wear-out Based Activation Of Functional Components
App 20110173432 - Cher; Chen-Yong ;   et al.
2011-07-14
Method and system of peak power enforcement via autonomous token-based control and management
Grant 7,930,578 - Bose , et al. April 19, 2
2011-04-19
Method of virtualization and OS-level thermal management and multithreaded processor with virtualization and OS-level thermal management
Grant 7,886,172 - Bose , et al. February 8, 2
2011-02-08
Hybrid Caching Techniques and Garbage Collection Using Hybrid Caching Techniques
App 20100223429 - Cher; Chen-Yong ;   et al.
2010-09-02
Method and apparatus for conserving power by throttling instruction fetching when a processor encounters low confidence branches in an information handling system
Grant 7,627,742 - Bose , et al. December 1, 2
2009-12-01
Method And System Of Peak Power Enforcement Via Autonomous Token-based Control And Management
App 20090089602 - Bose; Pradip ;   et al.
2009-04-02
Method Of Virtualization And Os-level Thermal Management And Multithreaded Processor With Virtualization And Os-level Thermal Management
App 20090064164 - Bose; Pradip ;   et al.
2009-03-05
Method And System For Controlling Power In A Chip Through A Power-performance Monitor And Control Unit
App 20090049318 - Bose; Pradip ;   et al.
2009-02-19
Method and Apparatus for Conserving Power by Throttling Instruction Fetching When a Processor Encounters Low Confidence Branches in an Information Handling System
App 20080256345 - Bose; Pradip ;   et al.
2008-10-16
Method and system for controlling power in a chip through a power-performance monitor and control unit
Grant 7,421,601 - Bose , et al. September 2, 2
2008-09-02
Method and system for controlling power in a chip through a power-performance monitor and control unit
App 20070198863 - Bose; Pradip ;   et al.
2007-08-23

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed