loadpatents
name:-0.13398599624634
name:-0.11185598373413
name:-0.049353837966919
Chen; Chun-Kuang Patent Filings

Chen; Chun-Kuang

Patent Applications and Registrations

Patent applications and USPTO patent grants for Chen; Chun-Kuang.The latest application filed is for "method for improved critical dimension uniformity in a semiconductor device fabrication process".

Company Profile
45.103.122
  • Chen; Chun-Kuang - Guanxi Township TW
  • Chen; Chun-Kuang - Hsinchu County TW
  • Chen; Chun Kuang - Zhubei TW
  • CHEN; CHUN-KUANG - SHEUNG WAN HK
  • Chen; Chun-Kuang - Hsinchu TW
  • CHEN; CHUN-KUANG - TAOYUAN CITY TW
  • Chen; Chun-Kuang - Hsinchu Hsien TW
  • Chen; Chun-Kuang - Taoyuan TW
  • Chen; Chun-Kuang - Taipei TW
  • Chen; Chun-Kuang - Hsin-Chu Hsien TW
  • Chen; Chun-Kuang - Hsinchu City TW
  • CHEN; Chun-Kuang - Taipei City TW
  • Chen; Chun-Kuang - Hsin-Chu N/A TW
  • Chen; Chun-Kuang - Taoyuang TW
  • Chen; Chun-Kuang - Chung-li TW
  • Chen, Chun-Kuang - Chung-li city TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Method for Improved Critical Dimension Uniformity in a Semiconductor Device Fabrication Process
App 20220223428 - Hung; Chi-Cheng ;   et al.
2022-07-14
Method of fabricating semiconductor device with reduced trench distortions
Grant 11,387,113 - Yen , et al. July 12, 2
2022-07-12
Phase-shift Reticle For Use In Photolithography
App 20220163881 - Yeh; Tse-An ;   et al.
2022-05-26
Using Cumulative Heat Amount Data To Qualify Hot Plate Used For Postexposure Baking
App 20220139698 - Chao; Chia-Cheng ;   et al.
2022-05-05
Method for improved critical dimension uniformity in a semiconductor device fabrication process
Grant 11,289,338 - Hung , et al. March 29, 2
2022-03-29
Using cumulative heat amount data to qualify hot plate used for postexposure baking
Grant 11,222,783 - Chao , et al. January 11, 2
2022-01-11
Via Rail Solution For High Power Electromigration
App 20210366844 - Sio; Kam-Tou ;   et al.
2021-11-25
Method and apparatus for collecting information used in image-error compensation
Grant 11,150,561 - Cho , et al. October 19, 2
2021-10-19
Layout Modification Method For Exposure Manufacturing Process
App 20210296303 - CHO; Hung-Wen ;   et al.
2021-09-23
Structure and method for alignment marks
Grant 11,121,128 - Wen , et al. September 14, 2
2021-09-14
Via rail solution for high power electromigration
Grant 11,088,092 - Sio , et al. August 10, 2
2021-08-10
Via rail solution for high power electromigration
Grant 11,063,005 - Sio , et al. July 13, 2
2021-07-13
Dummy MOL removal for performance enhancement
Grant 11,043,426 - Yang , et al. June 22, 2
2021-06-22
Layout modification method for exposure manufacturing process
Grant 11,024,623 - Cho , et al. June 1, 2
2021-06-01
Dual power structure with connection pins
Grant 11,024,579 - Peng , et al. June 1, 2
2021-06-01
Semiconductor Device Including Source/drain Contact Having Height Below Gate Stack
App 20210082903 - YOUNG; Charles Chew-Yuen ;   et al.
2021-03-18
Method Of Fabricating Semiconductor Device With Reduced Trench Distortions
App 20210057231 - Yen; Yung-Sung ;   et al.
2021-02-25
Collector pellicle
Grant 10,880,981 - Chien , et al. December 29, 2
2020-12-29
Method of controlling reticle masking blade positioning to minimize impact on critical dimension uniformity and device for controlling reticle masking blade positioning
Grant 10,871,713 - Cho , et al. December 22, 2
2020-12-22
Power strap structure for high performance and low current density
Grant 10,861,790 - Chen , et al. December 8, 2
2020-12-08
Semiconductor device including source/drain contact having height below gate stack
Grant 10,833,061 - Young , et al. November 10, 2
2020-11-10
Method And Apparatus For Collecting Information Used In Image-error Compensation
App 20200348586 - CHO; Hung-Wen ;   et al.
2020-11-05
Layout Modification Method For Exposure Manufacturing Process
App 20200350306 - CHO; Hung-Wen ;   et al.
2020-11-05
Method for Improved Critical Dimension Uniformity in a Semiconductor Device Fabrication Process
App 20200343097 - Hung; Chi-Cheng ;   et al.
2020-10-29
Method of fabricating semiconductor device with reduced trench distortions
Grant 10,818,509 - Yen , et al. October 27, 2
2020-10-27
Dummy MOL removal for performance enhancement
Grant 10,784,168 - Yang , et al. Sept
2020-09-22
Structure and Method for Alignment Marks
App 20200286887 - Wen; Ming-Chang ;   et al.
2020-09-10
Lithographic technique for feature cut by line-end shrink
Grant 10,763,113 - Yen , et al. Sep
2020-09-01
Method Of Controlling Reticle Masking Blade Positioning To Minimize Impact On Critical Dimension Uniformity And Device For Contr
App 20200264515 - CHO; Hung-Wen ;   et al.
2020-08-20
Layout modification method for exposure manufacturing process
Grant 10,720,419 - Cho , et al.
2020-07-21
Methods for improved critical dimension uniformity in a semiconductor device fabrication process
Grant 10,714,357 - Hung , et al.
2020-07-14
Method and apparatus for collecting information used in image-error compensation
Grant 10,712,651 - Cho , et al.
2020-07-14
Monitoring System
App 20200177808 - CHEN; TUNG-YU ;   et al.
2020-06-04
Structure and method for alignment marks
Grant 10,665,585 - Wen , et al.
2020-05-26
Method of controlling reticle masking blade positioning to minimize impact on critical dimension uniformity and device for controlling reticle masking blade positioning
Grant 10,642,158 - Cho , et al.
2020-05-05
Device For Applying Magnetic Field To A Filter For Reducing Metallic Contaminants
App 20200129895 - MAI; Hsuan-Ying ;   et al.
2020-04-30
Via Rail Solution For High Power Electromigration
App 20200083182 - Sio; Kam-Tou ;   et al.
2020-03-12
Dummy Mol Removal For Performance Enhancement
App 20200020588 - Yang; Hui-Ting ;   et al.
2020-01-16
Via rail solution for high power electromigration
Grant 10,510,688 - Sio , et al. Dec
2019-12-17
Lithographic Technique For Feature Cut By Line-end Shrink
App 20190378712 - YEN; Yung-Sung ;   et al.
2019-12-12
Layout Modification Method For Exposure Manufacturing Process
App 20190348409 - CHO; Hung-Wen ;   et al.
2019-11-14
Lithographic technique for feature cut by line-end shrink
Grant 10,388,523 - Yen , et al. A
2019-08-20
Dual Power Structure With Connection Pins
App 20190244901 - Peng; Shih-Wei ;   et al.
2019-08-08
Layout modification method for exposure manufacturing process
Grant 10,366,973 - Cho , et al. July 30, 2
2019-07-30
Pattern forming method and apparatus for lithography
Grant 10,345,718 - Wang , et al. July 9, 2
2019-07-09
Lithographic technique incorporating varied pattern materials
Grant 10,312,109 - Tseng , et al.
2019-06-04
Method Of Controlling Reticle Masking Blade Positioning To Minimize Impact On Critical Dimension Uniformity And Device For Contr
App 20190163046 - CHO; Hung-Wen ;   et al.
2019-05-30
Method And Apparatus For Collecting Information Used In Image-error Compensation
App 20190137866 - CHO; Hung-Wen ;   et al.
2019-05-09
Layout Modification Method For Exposure Manufacturing Process
App 20190131290 - CHO; Hung-Wen ;   et al.
2019-05-02
Dual power structure with connection pins
Grant 10,276,499 - Peng , et al.
2019-04-30
Method for patterning interconnects
Grant 10,276,377 - Yen , et al.
2019-04-30
Semiconductor Device Including Source/drain Contact Having Height Below Gate Stack
App 20190123036 - YOUNG; Charles Chew-Yuen ;   et al.
2019-04-25
Power Strap Structure For High Performance And Low Current Density
App 20190122987 - Chen; Chih-Liang ;   et al.
2019-04-25
Method of Fabricating Semiconductor Device with Reduced Trench Distortions
App 20190122895 - Yen; Yung-Sung ;   et al.
2019-04-25
Collector Pellicle
App 20190104604 - CHIEN; Shang-Chieh ;   et al.
2019-04-04
Using Cumulative Heat Amount Data To Qualify Hot Plate Used For Postexposure Baking
App 20190088471 - Chao; Chia-Cheng ;   et al.
2019-03-21
Apparatus and method for dispensing developer onto semiconductor substrate
Grant 10,203,606 - Chao , et al. Feb
2019-02-12
Dummy Mol Removal For Performance Enhancement
App 20190043759 - Yang; Hui-Ting ;   et al.
2019-02-07
Semiconductor device including source/drain contact having height below gate stack
Grant 10,177,133 - Young , et al. J
2019-01-08
Layout modification method and system
Grant 10,169,515 - Sio , et al. J
2019-01-01
Power strap structure for high performance and low current density
Grant 10,170,422 - Chen , et al. J
2019-01-01
Structure and method for overlay marks
Grant 10,163,738 - Wang , et al. Dec
2018-12-25
Method of fabricating semiconductor device with reduced trench distortions
Grant 10,163,654 - Yen , et al. Dec
2018-12-25
Via Rail Solution For High Power Electromigration
App 20180358309 - Sio; Kam-Tou ;   et al.
2018-12-13
Monitoring System
App 20180352155 - CHEN; CHUN-KUANG ;   et al.
2018-12-06
Lithography process and system with enhanced overlay quality
Grant 10,146,141 - Hung , et al. De
2018-12-04
Pattern Forming Method And Apparatus For Lithography
App 20180335706 - WANG; Chung-Cheng ;   et al.
2018-11-22
Methods for Improved Critical Dimension Uniformity in a Semiconductor Device Fabrication Process
App 20180330960 - Hung; Chi-Cheng ;   et al.
2018-11-15
Grafting agent for forming spacer layer
Grant 10,114,291 - Cheng , et al. October 30, 2
2018-10-30
Dummy MOL removal for performance enhancement
Grant 10,096,522 - Yang , et al. October 9, 2
2018-10-09
Lithographic Technique Incorporating Varied Pattern Materials
App 20180286698 - Tseng; Chin-Yuan ;   et al.
2018-10-04
Lithographic Technique For Feature Cut By Line-end Shrink
App 20180277358 - YEN; Yung-Sung ;   et al.
2018-09-27
Methods for improved critical dimension uniformity in a semiconductor device fabrication process
Grant 10,032,639 - Hung , et al. July 24, 2
2018-07-24
Method to define multiple layer patterns using double exposures
Grant 10,007,177 - Weng , et al. June 26, 2
2018-06-26
Power Strap Structure For High Performance And Low Current Density
App 20180174967 - Chen; Chih-Liang ;   et al.
2018-06-21
Method of forming an interconnect structure for a semiconductor device
Grant 9,997,404 - Wu , et al. June 12, 2
2018-06-12
Lithographic technique incorporating varied pattern materials
Grant 9,991,132 - Tseng , et al. June 5, 2
2018-06-05
Lithographic technique for feature cut by line-end shrink
Grant 9,984,876 - Yen , et al. May 29, 2
2018-05-29
Power strap structure for high performance and low current density
Grant 9,911,697 - Chen , et al. March 6, 2
2018-03-06
Monitoring Apparatus And Related Method
App 20180048554 - HUANG; JI-DE ;   et al.
2018-02-15
Dual Power Structure With Connection Pins
App 20180019207 - Peng; Shih-Wei ;   et al.
2018-01-18
Methods For Improved Critical Dimension Uniformity In A Semiconductor Device Fabrication Process
App 20170345670 - Hung; Chi-Cheng ;   et al.
2017-11-30
Method for Patterning Interconnects
App 20170338146 - Yen; Yung-Sung ;   et al.
2017-11-23
Dummy Mol Removal For Performance Enhancement
App 20170323832 - Yang; Hui-Ting ;   et al.
2017-11-09
Modular light control device and dimming control system
Grant 9,814,111 - Huang , et al. November 7, 2
2017-11-07
Power Strap Structure For High Performance And Low Current Density
App 20170317027 - Chen; Chih-Liang ;   et al.
2017-11-02
Dual power structure with connection pins
Grant 9,793,211 - Peng , et al. October 17, 2
2017-10-17
Novel Grafting Agent For Forming Spacer Layer
App 20170256396 - Cheng; Ya-Ling ;   et al.
2017-09-07
Designed-based interconnect structure in semiconductor structure
Grant 9,754,881 - Chen , et al. September 5, 2
2017-09-05
Method of forming features with various dimensions
Grant 9,728,407 - Hsieh , et al. August 8, 2
2017-08-08
Lithographic Technique For Feature Cut By Line-end Shrink
App 20170207081 - Yen; Yung-Sung ;   et al.
2017-07-20
Method of Forming Features with Various Dimensions
App 20170194146 - Hsieh; Ken-Hsien ;   et al.
2017-07-06
Method of patterning a film layer
Grant 9,684,236 - Hsieh , et al. June 20, 2
2017-06-20
Layout Modification Method And System
App 20170140086 - SIO; KAM-TOU ;   et al.
2017-05-18
Via Rail Solution for High Power Electromigration
App 20170117272 - Sio; Kam-Tou ;   et al.
2017-04-27
Dual Power Structure with Connection Pins
App 20170110405 - Peng; Shih-Wei ;   et al.
2017-04-20
Lithographic technique for feature cut by line-end shrink
Grant 9,613,850 - Yen , et al. April 4, 2
2017-04-04
Structure And Method For Overlay Marks
App 20170084506 - WANG; Hsien-Cheng ;   et al.
2017-03-23
Method To Define Multiple Layer Patterns Using Double Exposures
App 20170052445 - Weng; Ming-Huei ;   et al.
2017-02-23
Driving circuit and lamps
Grant 9,560,701 - Chen , et al. January 31, 2
2017-01-31
Structure and method for overlay marks
Grant 9,543,406 - Wang , et al. January 10, 2
2017-01-10
Lamp
Grant 9,538,594 - Chen , et al. January 3, 2
2017-01-03
Multiple directed self-assembly patterning process
Grant 9,530,660 - Tseng , et al. December 27, 2
2016-12-27
Method Of Forming An Interconnect Structure For A Semiconductor Device
App 20160365276 - WU; Yung-Hsu ;   et al.
2016-12-15
Method Of Fabricating Semiconductor Device With Reduced Trench Distortions
App 20160358788 - Yen; Yung-Sung ;   et al.
2016-12-08
Multiple Directed Self-Assembly Patterning Process
App 20160336186 - Tseng; Chin-Yuan ;   et al.
2016-11-17
Lithographic Technique Incorporating Varied Pattern Materials
App 20160307769 - Tseng; Chin-Yuan ;   et al.
2016-10-20
Fin patterning methods for increased process margin
Grant 9,449,880 - Tseng , et al. September 20, 2
2016-09-20
Structure And Method For Semiconductor Device
App 20160268244 - YOUNG; Charles Chew-Yuen ;   et al.
2016-09-15
Fin Patterning Methods For Increased Process Margin
App 20160254191 - Tseng; Chin-Yuan ;   et al.
2016-09-01
Method of forming an interconnect structure for a semiconductor device
Grant 9,431,297 - Wu , et al. August 30, 2
2016-08-30
Method Of Fabricating Semiconductor Device
App 20160240430 - Yen; Yung-Sung ;   et al.
2016-08-18
Method of fabricating semiconductor device with reduced trench distortions
Grant 9,418,868 - Yen , et al. August 16, 2
2016-08-16
Method of fabricating semiconductor device
Grant 9,412,649 - Yen , et al. August 9, 2
2016-08-09
Method and structure for lithography processes with focus monitoring and control
Grant 9,383,657 - Wang , et al. July 5, 2
2016-07-05
Lithographic Technique for Feature Cut by Line-End Shrink
App 20160181110 - Yen; Yung-Sung ;   et al.
2016-06-23
Designed-based Interconnect Structure In Semiconductor Structure
App 20160172297 - CHEN; Chih-Liang ;   et al.
2016-06-16
Double patterning strategy for contact hole and trench in photolithography
Grant 9,323,155 - Chen , et al. April 26, 2
2016-04-26
Method Of Forming An Interconnect Structure For A Semiconductor Device
App 20160099174 - Wu; Yung-Hsu ;   et al.
2016-04-07
Color temperature and illumination adjusting system, and method thereof
Grant 9,307,614 - Chen , et al. April 5, 2
2016-04-05
Lens Having Through Hole And Lighting Module
App 20160069534 - Chen; Chun-Kuang
2016-03-10
Designed-based interconnect structure in semiconductor structure
Grant 9,281,273 - Chen , et al. March 8, 2
2016-03-08
Lithography Process and System with Enhanced Overlay Quality
App 20160062250 - Hung; Chi-Cheng ;   et al.
2016-03-03
Designed-based Interconnect Structure In Semiconductor Structure
App 20160064322 - CHEN; Chih-Liang ;   et al.
2016-03-03
Overlay mark assistant feature
Grant 9,214,347 - Yao , et al. December 15, 2
2015-12-15
Integrated wireless and wired light control system
Grant 9,210,778 - Chen , et al. December 8, 2
2015-12-08
Wireless control system and wireless network expansion method applied thereto
Grant 9,148,751 - Chen , et al. September 29, 2
2015-09-29
Method and Structure for Lithography Processes with Focus Monitoring and Control
App 20150248068 - Wang; Jhih-Yu ;   et al.
2015-09-03
Light-emitting diode light tube driving circuit
Grant 9,107,255 - Chen , et al. August 11, 2
2015-08-11
Structure and Method for Alignment Marks
App 20150214225 - Wen; Ming-Chang ;   et al.
2015-07-30
Dimmer circuit and lighting apparatus using the same
Grant 9,055,626 - Chen , et al. June 9, 2
2015-06-09
Control method of lamp
Grant 9,055,625 - Lin , et al. June 9, 2
2015-06-09
Led Driver Circuit Capable Of Extending A Lifespan Of The Led Driver And Reducing Manufacturing Cost
App 20150102727 - CHEN; Chun-Kuang ;   et al.
2015-04-16
Structure and method for alignment marks
Grant 9,000,525 - Wen , et al. April 7, 2
2015-04-07
Lamps and control circuit
Grant 8,981,669 - Chen , et al. March 17, 2
2015-03-17
Light-emitting Diode Light Tube Driving Circuit
App 20150015154 - CHEN; Chun-Kuang ;   et al.
2015-01-15
Integrated Wireless And Wired Light Control System
App 20150008846 - CHEN; Yuan-Ching ;   et al.
2015-01-08
Wireless Control System And Wireless Network Expansion Method Applied Thereto
App 20150011164 - CHEN; Yuan-Ching ;   et al.
2015-01-08
Application Circuit And Control Method Thereof
App 20140346964 - CHEN; Chun-Kuang ;   et al.
2014-11-27
Lamps
Grant 8,884,527 - Lin , et al. November 11, 2
2014-11-11
Illumination control circuit and illumination control method
Grant 8,847,505 - Chen , et al. September 30, 2
2014-09-30
Double Patterning Strategy For Contact Hole And Trench In Photolithography
App 20140272714 - CHEN; CHUN-KUANG ;   et al.
2014-09-18
Method And System Of Detecting Flickering Frequency Of Ambient Light Source
App 20140214362 - CHEN; Yuan-Ching ;   et al.
2014-07-31
Dimming Circuit And Lighting Device Using The Same
App 20140191679 - Chen; Chun-Kuang ;   et al.
2014-07-10
Holographic reticle and patterning method
Grant 8,758,963 - Chang , et al. June 24, 2
2014-06-24
Double patterning strategy for contact hole and trench in photolithography
Grant 8,741,552 - Chen , et al. June 3, 2
2014-06-03
Illumination controlling circuit and illumination system
Grant 8,716,951 - Chen , et al. May 6, 2
2014-05-06
Illumination System
App 20140117851 - CHEN; Chun-Kuang ;   et al.
2014-05-01
Dimmer Circuit And Lighting Apparatus Using The Same
App 20140111105 - Chen; Chun-Kuang ;   et al.
2014-04-24
Lamp
App 20140103824 - Chen; Chun-Kuang ;   et al.
2014-04-17
Light Device
App 20140078771 - Chen; Chun-Kuang ;   et al.
2014-03-20
Lamp Tube
App 20140063820 - Chen; Chun-Kuang ;   et al.
2014-03-06
Overlay Mark Assistant Feature
App 20130330904 - Yao; Hsin-Chieh ;   et al.
2013-12-12
Control Method Of Lamp
App 20130307433 - Lin; Feng-Ling ;   et al.
2013-11-21
Device and method for providing wavelength reduction with a photomask
Grant 8,563,198 - Lin , et al. October 22, 2
2013-10-22
Color Temperature And Illumination Adjusting System, And Method Thereof
App 20130271031 - Chen; Chun-Kuang ;   et al.
2013-10-17
Light-emitting Device
App 20130242570 - CHEN; CHUN-KUANG ;   et al.
2013-09-19
Point light source and light source module using the same
Grant 8,511,853 - Chen , et al. August 20, 2
2013-08-20
Overlay mark assistant feature
Grant 8,513,821 - Yao , et al. August 20, 2
2013-08-20
Led Lighting Apparatus And Dimming Method Thereof
App 20130200814 - CHEN; CHUN-KUANG ;   et al.
2013-08-08
Illumination Control Circuit And Illumination Control Method
App 20130169183 - CHEN; Po-Shen ;   et al.
2013-07-04
Illumination Controlling Circuit And Illumination System
App 20130147354 - CHEN; Chun-Kuang ;   et al.
2013-06-13
Light Adjustment Device And Light System Including The Same
App 20130135333 - CHEN; Chun-Kuang ;   et al.
2013-05-30
Downlight
Grant D681,863 - Chen , et al. May 7, 2
2013-05-07
Lamps And Illuminating System
App 20130093334 - Lin; Feng-Ling ;   et al.
2013-04-18
Driving Circuit And Lamps
App 20130093349 - Chen; Chun-Kuang ;   et al.
2013-04-18
Lamps And Control Circuit
App 20130093344 - Chen; Chun-Kuang ;   et al.
2013-04-18
Lamps
App 20130093331 - Lin; Feng-Ling ;   et al.
2013-04-18
Holographic Reticle and Patterning Method
App 20120295185 - Chang; Shih-Ming ;   et al.
2012-11-22
Holographic reticle and patterning method
Grant 8,227,150 - Chang , et al. July 24, 2
2012-07-24
Structure And Method For Overlay Marks
App 20120146159 - WANG; Hsien-Cheng ;   et al.
2012-06-14
Reverse planarization method
Grant 8,173,548 - Hung , et al. May 8, 2
2012-05-08
Point Light Source And Light Source Module Using The Same
App 20120044679 - CHEN; Chun-Kuang ;   et al.
2012-02-23
Reverse Planarization Method
App 20110294286 - Hung; Chi-Cheng ;   et al.
2011-12-01
Structure and Method for Alignment Marks
App 20110284966 - Wen; Ming-Chang ;   et al.
2011-11-24
Overlay Mark Assistant Feature
App 20110285036 - Yao; Hsin-Chieh ;   et al.
2011-11-24
Device And Method For Providing Wavelength Reduction With A Photomask
App 20110244378 - Lin; Burn Jeng ;   et al.
2011-10-06
System for improving critical dimension uniformity
Grant 8,027,529 - Yu , et al. September 27, 2
2011-09-27
Method And Apparatus For Enhanced Dipole Lithography
App 20110212403 - Kuo; Ming-Jhih ;   et al.
2011-09-01
Methods To Achieve 22 Nanometer And Beyond With Single Exposure
App 20110193202 - Yu; Vincent ;   et al.
2011-08-11
Double patterning strategy for contact hole and trench
Grant 7,935,477 - Hsu , et al. May 3, 2
2011-05-03
Seal ring arrangements for immersion lithography systems
Grant 7,924,401 - Lin , et al. April 12, 2
2011-04-12
Double Patterning Strategy For Forming Fine Patterns In Photolithography
App 20110059407 - LIN; Li-Te S. ;   et al.
2011-03-10
Double Patterning Strategy For Contact Hole And Trench In Photolithography
App 20100310995 - Chen; Chun-Kuang ;   et al.
2010-12-09
Holographic Reticle and Patterning Method
App 20100297538 - Chang; Shih-Ming ;   et al.
2010-11-25
System For Improving Critical Dimension Uniformity
App 20100201961 - Yu; Shinn-Sheng ;   et al.
2010-08-12
Method and system for improving critical dimension uniformity
Grant 7,732,109 - Yu , et al. June 8, 2
2010-06-08
Hood for immersion lithography
Grant 7,675,604 - Chen , et al. March 9, 2
2010-03-09
Multi-focus scanning with a tilted mask or wafer
Grant 7,667,821 - Lin , et al. February 23, 2
2010-02-23
Exposure scan and step direction optimization
Grant 7,666,576 - Liang , et al. February 23, 2
2010-02-23
Seal Ring Arrangements for Immersion Lithography Systems
App 20090180087 - Lin; Burn Jeng ;   et al.
2009-07-16
Double Patterning Strategy For Contact Hole And Trench
App 20090142701 - Hsu; Feng-Cheng ;   et al.
2009-06-04
Seal ring arrangements for immersion lithography systems
Grant 7,517,639 - Lin , et al. April 14, 2
2009-04-14
Method And System For Improving Critical Dimension Uniformity
App 20080248403 - Yu; Shinn-Sheng ;   et al.
2008-10-09
Immersion Lithography Defect Reduction With Top Coater Removal
App 20080020324 - SHIU; Lin-Hung ;   et al.
2008-01-24
Exposure Scan And Step Direction Optimization
App 20070285639 - LIANG; Fu-Jye ;   et al.
2007-12-13
Hood For Immersion Lithography
App 20070258060 - CHEN; Li-Jui ;   et al.
2007-11-08
System and method for photolithography in semiconductor manufacturing
App 20070092840 - Chen; Kuei Shun ;   et al.
2007-04-26
Lithography process to reduce interference
App 20070087291 - Gau; Tsai-Sheng ;   et al.
2007-04-19
Immersion optical projection system
Grant 7,180,572 - Shih , et al. February 20, 2
2007-02-20
Seal ring arrangements for immersion lithography systems
App 20070008508 - Lin; Burn Jeng ;   et al.
2007-01-11
Apparatus and method for immersion lithography
Grant 7,091,502 - Gau , et al. August 15, 2
2006-08-15
Photomask with wavelength reduction material and pellicle
App 20060083997 - Lin; Burn Jeng ;   et al.
2006-04-20
Immersion optical projection system
App 20050286030 - Shih, Jen-Chieh ;   et al.
2005-12-29
Multi-focus scanning with a tilted mask or wafer
App 20050270508 - Lin, Burn-J. ;   et al.
2005-12-08
Method of defining forbidden pitches for a lithography exposure tool
Grant 6,973,636 - Shin , et al. December 6, 2
2005-12-06
Apparatus and method for immersion lithography
App 20050253090 - Gau, Tsai Sheng ;   et al.
2005-11-17
Alert system and method for geographic or natural disasters utilizing a telecommunications network
Grant 6,914,525 - Rao , et al. July 5, 2
2005-07-05
Device and method for providing wavelength reduction with a photomask
App 20050100798 - Lin, Burn Jeng ;   et al.
2005-05-12
Method of defining forbidden pitches for a lithography exposure tool
App 20050086629 - Shin, Jaw-Jung ;   et al.
2005-04-21
Alert system and method for geographic or natural disasters utilizing a telecommunications network
App 20040075552 - Rao, Herman ;   et al.
2004-04-22

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed