loadpatents
name:-0.064000844955444
name:-0.055433988571167
name:-0.021611213684082
Chang; Weng Patent Filings

Chang; Weng

Patent Applications and Registrations

Patent applications and USPTO patent grants for Chang; Weng.The latest application filed is for "adjusting work function through adjusting deposition temperature".

Company Profile
20.54.63
  • Chang; Weng - Hsinchu TW
  • Chang; Weng - Hsin-Chu TW
  • CHANG; Weng - Hsin-Chu 300 TW
  • Chang; Weng - Taipei TW
  • Chang; Weng - Somerset NJ
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Adjusting Work Function Through Adjusting Deposition Temperature
App 20220310451 - Lee; Hsin-Yi ;   et al.
2022-09-29
Work function control in gate structures
Grant 11,444,198 - Lee , et al. September 13, 2
2022-09-13
Etching Back and Selective Deposition of Metal Gate
App 20220278224 - Lim; Peng-Soon ;   et al.
2022-09-01
In-situ formation of metal gate modulators
Grant 11,430,698 - Tsai , et al. August 30, 2
2022-08-30
Gate Structure Of Semiconductor Device And Method Of Forming Same
App 20220238688 - Lee; Hsin-Yi ;   et al.
2022-07-28
Transistor Gate Structures and Methods of Forming the Same
App 20220238687 - Lee; Hsin-Yi ;   et al.
2022-07-28
Semiconductor Device and Method
App 20220223594 - Lee; Hsin-Yi ;   et al.
2022-07-14
Etching back and selective deposition of metal gate
Grant 11,380,774 - Lim , et al. July 5, 2
2022-07-05
Adjusting work function through adjusting deposition temperature
Grant 11,362,002 - Lee , et al. June 14, 2
2022-06-14
Nanosheet Field-Effect Transistor Device and Method of Forming
App 20220140101 - Lee; Hsin-Yi ;   et al.
2022-05-05
Method for Patterning a Lanthanum Containing Layer
App 20220059412 - Lee; Kun-Yu ;   et al.
2022-02-24
Nanosheet field-effect transistor device and method of forming
Grant 11,227,931 - Lee , et al. January 18, 2
2022-01-18
Semiconductor device having gate dielectric and inhibitor film over gate dielectric
Grant 11,211,465 - Khaderbad , et al. December 28, 2
2021-12-28
Semiconductor Device and Method
App 20210399102 - Lee; Hsin-Yi ;   et al.
2021-12-23
Work Function Control In Gate Structures
App 20210376138 - LEE; Hsin-Yi ;   et al.
2021-12-02
In-Situ Formation of Metal Gate Modulators
App 20210366775 - Tsai; Hsin-Han ;   et al.
2021-11-25
Semiconductor Device With Profiled Work-function Metal Gate Electrode And Method Of Making
App 20210351085 - Lee; Da-Yuan ;   et al.
2021-11-11
Method for patterning a lanthanum containing layer
Grant 11,171,061 - Lee , et al. November 9, 2
2021-11-09
Semiconductor device
Grant 11,164,868 - Lee , et al. November 2, 2
2021-11-02
Semiconductor Device and Method
App 20210313419 - Lee; Hsin-Yi ;   et al.
2021-10-07
Methods for threshold voltage tuning and structure formed thereby
Grant 11,121,041 - Chen , et al. September 14, 2
2021-09-14
Adjusting Work Function Through Adjusting Deposition Temperature
App 20210233817 - Lee; Hsin-Yi ;   et al.
2021-07-29
Semiconductor device with profiled work-function metal gate electrode and method of making
Grant 11,075,124 - Lee , et al. July 27, 2
2021-07-27
Semiconductor Devices, Finfet Devices And Methods Of Forming The Same
App 20210217870 - Lee; Hsin-Yi ;   et al.
2021-07-15
Nanosheet Field-effect Transistor Device And Method Of Forming
App 20210202709 - Lee; Hsin-Yi ;   et al.
2021-07-01
Semiconductor Device and Method
App 20210134799 - Tsai; Cheng-Yen ;   et al.
2021-05-06
Semiconductor Device And Method Of Fabricating The Same
App 20210091076 - Lee; Hsin-Yi ;   et al.
2021-03-25
Semiconductor Device
App 20210091077 - Lee; Hsin-Yi ;   et al.
2021-03-25
Etching back and selective deposition of metal gate
Grant 10,879,370 - Lim , et al. December 29, 2
2020-12-29
Semiconductor device and method
Grant 10,868,013 - Tsai , et al. December 15, 2
2020-12-15
Method for patterning a lanthanum containing layer
Grant 10,867,869 - Lee , et al. December 15, 2
2020-12-15
Method of semiconductor integrated circuit fabrication
Grant 10,861,751 - Yu , et al. December 8, 2
2020-12-08
Semiconductor Device With Profiled Work-function Metal Gate Electrode And Method Of Making
App 20200335404 - LEE; Da-Yuan ;   et al.
2020-10-22
Semiconductor device with profiled work-function metal gate electrode and method of making
Grant 10,699,966 - Lee , et al.
2020-06-30
Semiconductor Device With Sidewall Passivation And Method Of Making
App 20200119153 - KHADERBAD; Mrunal A. ;   et al.
2020-04-16
Semiconductor Device and Method
App 20200119019 - Tsai; Cheng-Yen ;   et al.
2020-04-16
Methods for Threshold Voltage Tuning and Structure Formed Thereby
App 20200083114 - Chen; Zoe ;   et al.
2020-03-12
Method Of Semiconductor Integrated Circuit Fabrication
App 20200083112 - YU; De-Wei ;   et al.
2020-03-12
Method for Patterning a Lanthanum Containing Layer
App 20200083115 - Lee; Kun-Yu ;   et al.
2020-03-12
Etching Back and Selective Deposition of Metal Gate
App 20200083351 - Lim; Peng-Soon ;   et al.
2020-03-12
Method for Patterning a Lanthanum Containing Layer
App 20200006157 - Lee; Kun-Yu ;   et al.
2020-01-02
Semiconductor device and method
Grant 10,510,756 - Tsai , et al. Dec
2019-12-17
Methods for threshold voltage tuning and structures formed thereby
Grant 10,510,621 - Chen , et al. Dec
2019-12-17
Semiconductor device having gate body and inhibitor film between conductive prelayer over gate body and conductive layer over inhibitor film
Grant 10,510,854 - Khaderbad , et al. Dec
2019-12-17
Method for patterning a lanthanum containing layer
Grant 10,504,795 - Lee , et al. Dec
2019-12-10
Method of semiconductor integrated circuit fabrication
Grant 10,483,170 - Yu , et al. Nov
2019-11-19
Methods For Threshold Voltage Tuning And Structures Formed Thereby
App 20190318967 - Chen; Zoe ;   et al.
2019-10-17
Method For Patterning A Lanthanum Containing Layer
App 20190304846 - LEE; Kun-Yu ;   et al.
2019-10-03
Semiconductor device and method
Grant 10,304,835 - Tsai , et al.
2019-05-28
Pre-deposition treatment and atomic layer deposition (ALD) process and structures formed thereby
Grant 10,297,453 - Tsai , et al.
2019-05-21
Semiconductor Device And Sidewall Passivation And Method Of Making
App 20180308944 - KHADERBAD; Mrunal A. ;   et al.
2018-10-25
Method Of Semiconductor Integrated Circuit Fabrication
App 20180308765 - YU; De-Wei ;   et al.
2018-10-25
System For Pre-deposition Treatment Of A Work-function Metal Layer
App 20180261459 - TSAI; Cheng-Yen ;   et al.
2018-09-13
Pre-Deposition Treatment and Atomic Layer Deposition (ALD) Process and Structures Formed Thereby
App 20180218912 - Tsai; Cheng-Yen ;   et al.
2018-08-02
Semiconductor device with sidewall passivation and method of making
Grant 10,014,382 - Khaderbad , et al. July 3, 2
2018-07-03
Method of semiconductor integrated circuit fabrication
Grant 10,008,418 - Yu , et al. June 26, 2
2018-06-26
Etching Back and Selective Deposition of Metal Gate
App 20180175165 - Lim; Peng-Soon ;   et al.
2018-06-21
Methods for pre-deposition treatment of a work-function metal layer
Grant 9,978,601 - Tsai , et al. May 22, 2
2018-05-22
Pre-deposition treatment and atomic layer deposition (ALD) process and structures formed thereby
Grant 9,947,540 - Tsai , et al. April 17, 2
2018-04-17
Method Of Semiconductor Integrated Circuit Fabrication
App 20180096898 - YU; De-Wei ;   et al.
2018-04-05
Semiconductor device and manufacturing method thereof
Grant 9,761,683 - Chou , et al. September 12, 2
2017-09-12
Semiconductor Device With Profiled Work-function Metal Gate Electrode And Method Of Making
App 20170178973 - Lee; Da-Yuan ;   et al.
2017-06-22
Atomic Layer Deposition Methods And Structures Thereof
App 20170110324 - TSAI; Cheng-Yen ;   et al.
2017-04-20
Semiconductor device with metal gate structure comprising work-function metal layer and work-fuction adjustment layer
Grant 9,590,065 - Lee , et al. March 7, 2
2017-03-07
Pre-deposition Treatment And Atomic Layer Deposition (ald) Process And Structures Formed Thereby
App 20170032972 - Tsai; Cheng-Yen ;   et al.
2017-02-02
Semiconductor Device And Manufacturing Method Thereof
App 20160336420 - CHOU; Chun-Yuan ;   et al.
2016-11-17
N metal for FinFET and methods of forming
Grant 9,293,334 - Kuo , et al. March 22, 2
2016-03-22
Semiconductor Device With Sidewall Passivation And Method Of Making
App 20150262827 - Khaderbad; Mrunai A. ;   et al.
2015-09-17
N Metal for FinFET and Methods of Forming
App 20150200100 - Kuo; Po-Chin ;   et al.
2015-07-16
N metal for FinFET
Grant 9,064,857 - Kuo , et al. June 23, 2
2015-06-23
Semiconductor Device With Profiled Work-function Metal Gate Electrode And Method Of Making
App 20150155365 - Lee; Da-Yuan ;   et al.
2015-06-04
Silicon layer for stopping dislocation propagation
Grant 8,846,461 - Lin , et al. September 30, 2
2014-09-30
N Metal for FinFET
App 20140167187 - Kuo; Po-Chin ;   et al.
2014-06-19
Silicon Layer for Stopping Dislocation Propagation
App 20130122674 - Lin; Hsien-Hsin ;   et al.
2013-05-16
Silicon layer for stopping dislocation propagation
Grant 8,344,447 - Lin , et al. January 1, 2
2013-01-01
Sealing layer of a field effect transistor
Grant 8,258,588 - Lin , et al. September 4, 2
2012-09-04
Sealing Layer Of A Field Effect Transistor
App 20110031562 - LIN; Yu Chao ;   et al.
2011-02-10
Triangular space element for semiconductor device
Grant 7,834,389 - Huang , et al. November 16, 2
2010-11-16
Triangular Space Element For Semiconductor Device
App 20080308899 - Huang; Yu-Lien ;   et al.
2008-12-18
Silicon layer for stopping dislocation propagation
App 20080246057 - Lin; Hsien-Hsin ;   et al.
2008-10-09
Method for fabricating semiconductor device
App 20080242108 - Chang; Weng ;   et al.
2008-10-02
Etch stop layer
Grant 7,375,040 - Lin , et al. May 20, 2
2008-05-20
Metal oxynitride gate
App 20070284677 - Chang; Weng ;   et al.
2007-12-13
Copper interconnects
Grant 7,253,524 - Wu , et al. August 7, 2
2007-08-07
Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
Grant 7,193,325 - Wu , et al. March 20, 2
2007-03-20
High performance strained channel MOSFETs by coupled stress effects
Grant 7,119,404 - Chang , et al. October 10, 2
2006-10-10
Silicon oxycarbide and silicon carbonitride based materials for MOS devices
Grant 7,115,974 - Wu , et al. October 3, 2
2006-10-03
System and method for contact module processing
App 20060157776 - Chang; Cheng-Hung ;   et al.
2006-07-20
Etch stop layer
App 20060110938 - Lin; Simon S.H. ;   et al.
2006-05-25
Method and apparatus for real-time control and monitor of deposition processes
App 20060049036 - Chang; Cheng-Hung ;   et al.
2006-03-09
Test region layout for shallow trench isolation
Grant 7,002,177 - Chang , et al. February 21, 2
2006-02-21
High performance strained channel mosfets by coupled stress effects
App 20050260806 - Chang, Cheng-Hung ;   et al.
2005-11-24
Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
App 20050245100 - Wu, Zhen-Cheng ;   et al.
2005-11-03
Silicon oxycarbide and silicon carbonitride based materials for MOS devices
App 20050236694 - Wu, Zhen-Cheng ;   et al.
2005-10-27
Methods for enhancing within-wafer CMP uniformity
Grant 6,929,533 - Chang August 16, 2
2005-08-16
Copper interconnects
App 20050110153 - Wu, Zhen-Cheng ;   et al.
2005-05-26
Test region layout for shallow trench isolation
App 20050095727 - Chang, Weng ;   et al.
2005-05-05
Methods for enhancing within-wafer CMP uniformity
App 20050079801 - Chang, Weng
2005-04-14
Embedded fastener apparatus and method for preventing particle contamination
App 20050050708 - Huang, Yu-Lien ;   et al.
2005-03-10
Method of improving an etching profile in dual damascene etching
Grant 6,828,245 - Chang December 7, 2
2004-12-07
Method to reduce defect/slurry residue for copper CMP
Grant 6,767,274 - Chen , et al. July 27, 2
2004-07-27
Etch stop layer
App 20040124420 - Lin, Simon S.H. ;   et al.
2004-07-01
Multilayer interface in copper CMP for low K dielectric
Grant 6,753,249 - Chen , et al. June 22, 2
2004-06-22
Method to reduce defect/slurry residue for copper CMP
App 20040092210 - Chen, Chi-Chun ;   et al.
2004-05-13
Method of improving an etching profile in dual damascene etching
App 20030166345 - Chang, Weng
2003-09-04
Dual damascene method employing composite low dielectric constant dielectric layer having intrinsic etch stop characteristics
App 20020173157 - Chang, Weng ;   et al.
2002-11-21
Methods for inhibiting microelectronic damascene processing induced low dielectric constant dielectric layer physical degradation
App 20020094674 - Bao, Tien-I ;   et al.
2002-07-18
Method of reducing dishing and erosion using a sacrificial layer
Grant 6,383,935 - Lin , et al. May 7, 2
2002-05-07
Method to eliminate dishing of copper interconnects by the use of a sacrificial oxide layer
Grant 6,372,632 - Yu , et al. April 16, 2
2002-04-16
Reducing CMP scratch, dishing and erosion by post CMP etch back method for low-k materials
Grant 6,350,694 - Chang , et al. February 26, 2
2002-02-26
Method to reduce via poison in low-k Cu dual damascene by UV-treatment
Grant 6,319,809 - Chang , et al. November 20, 2
2001-11-20
Method to improve metal line adhesion by trench corner shape modification
Grant 6,274,483 - Chang , et al. August 14, 2
2001-08-14
Method of protecting a low-K dielectric material
Grant 6,268,294 - Jang , et al. July 31, 2
2001-07-31
Method for forming low dielectric constant spin-on-polymer (SOP) dielectric layer
Grant 6,255,232 - Chang , et al. July 3, 2
2001-07-03
Method of optimizing device performance via use of copper damascene structures, and HSQ/FSG, hybrid low dielectric constant materials
Grant 6,187,663 - Yu , et al. February 13, 2
2001-02-13
Method for fabricating a hybrid low-dielectric-constant intermetal dielectric (IMD) layer with improved reliability for multilevel interconnections
Grant 6,159,842 - Chang , et al. December 12, 2
2000-12-12
Apparatus for making nanostructured ceramic powders and whiskers
Grant 5,514,350 - Kear , et al. May 7, 1
1996-05-07

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed