loadpatents
name:-0.04813814163208
name:-0.044090986251831
name:-0.0089321136474609
Chang; Chung-Long Patent Filings

Chang; Chung-Long

Patent Applications and Registrations

Patent applications and USPTO patent grants for Chang; Chung-Long.The latest application filed is for "semiconductor structure with ultra thick metal and manufacturing method thereof".

Company Profile
8.49.37
  • Chang; Chung-Long - Hsinchu TW
  • CHANG; CHUNG-LONG - Hsinchu City TW
  • Chang; Chung-Long - Yun Lin TW
  • Chang; Chung-Long - Dou-Liu TW
  • CHANG; Chung-LONG - Dou-Liu City TW
  • Chang; Chung-Long - Dou-Li N/A TW
  • CHANG; Chung-Long - Dou-Li City TW
  • Chang; Chung-Long - Douliou TW
  • Chang; Chung-Long - Win-Lin TW
  • Chang; Chung-Long - Dou-Liou TW
  • Chang, Chung-Long - Dou-Liou City TW
  • Chang, Chung-Long - Win-Lin City TW
  • Chang; Chung-Long - Hsin-Chu TW
  • Chang, Chung-Long - Douliou City TW
  • Chang, Chung-Long - Pou-Liu City TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Integrated circuit
Grant 11,264,378 - Chen , et al. March 1, 2
2022-03-01
Semiconductor Structure With Ultra Thick Metal And Manufacturing Method Thereof
App 20210366828 - LU; CHEN-FA ;   et al.
2021-11-25
Semiconductor structure with ultra thick metal and manufacturing method thereof
Grant 11,114,378 - Lu , et al. September 7, 2
2021-09-07
Multi-terminal inductor for integrated circuit
Grant 10,879,342 - Hsu , et al. December 29, 2
2020-12-29
Multi-terminal Inductor For Integrated Circuit
App 20200286981 - Hsu; Ching-Chung ;   et al.
2020-09-10
Multi-terminal inductor for integrated circuit
Grant 10,672,860 - Hsu , et al.
2020-06-02
Integrated Circuit
App 20200126976 - CHEN; Shao-Yu ;   et al.
2020-04-23
Multi-terminal Inductor For Integrated Circuit
App 20200066831 - Hsu; Ching-Chung ;   et al.
2020-02-27
Integrated circuit and manufacturing method thereof
Grant 10,515,949 - Chen , et al. Dec
2019-12-24
Multi-terminal inductor for integrated circuit
Grant 10,475,877 - Hsu , et al. Nov
2019-11-12
Semiconductor Structure With Ultra Thick Metal And Manufacturing Method Thereof
App 20190252317 - LU; CHEN-FA ;   et al.
2019-08-15
Semiconductor structure with ultra thick metal and manufacturing method thereof
Grant 10,269,701 - Lu , et al.
2019-04-23
Method of forming capacitor structure
Grant 10,102,972 - Hua , et al. October 16, 2
2018-10-16
Semiconductor device and method for forming the same
Grant 10,090,327 - Cheng , et al. October 2, 2
2018-10-02
Semiconductor Structure With Ultra Thick Metal And Manufacturing Method Thereof
App 20170098606 - LU; CHEN-FA ;   et al.
2017-04-06
Mechanisms for forming radio frequency (RF) area of integrated circuit structure
Grant 9,589,831 - Cheng , et al. March 7, 2
2017-03-07
Integrated circuit using deep trench through silicon (DTS)
Grant 9,343,352 - Cheng , et al. May 17, 2
2016-05-17
Mechanisms For Forming Radio Frequency (rf) Area Of Integrated Circuit Structure
App 20160099169 - CHENG; Kuo-Yu ;   et al.
2016-04-07
Handle wafer for high resistivity trap-rich SOI
Grant 9,269,591 - Kalnitsky , et al. February 23, 2
2016-02-23
Mechanisms for forming radio frequency (RF) area of integrated circuit structure
Grant 9,230,988 - Cheng , et al. January 5, 2
2016-01-05
Handle Wafer For High Resistivity Trap-rich Soi
App 20150270143 - Kalnitsky; Alex ;   et al.
2015-09-24
Semiconductor Device And Method For Forming The Same
App 20150206902 - CHENG; Kuo-Yu ;   et al.
2015-07-23
Method Of Forming Capacitor Structure
App 20150155096 - HUA; Wei-Chun ;   et al.
2015-06-04
Integrated Circuit Using Deep Trench Through Silicon (DTS)
App 20150132918 - Cheng; Kuo-Yu ;   et al.
2015-05-14
Mechanisms For Forming Radio Frequency (rf) Area Of Integrated Circuit Structure
App 20150115381 - CHENG; Kuo-Yu ;   et al.
2015-04-30
Integrated Circuit And Manufacturing Method Thereof
App 20150108607 - CHEN; Shao-Yu ;   et al.
2015-04-23
Protection structure for metal-oxide-metal capacitor
Grant 8,971,014 - Hua , et al. March 3, 2
2015-03-03
Integrated circuit using deep trench through silicon (DTS)
Grant 8,941,211 - Cheng , et al. January 27, 2
2015-01-27
Integrated Circuit Using Deep Trench Through Silicon (DTS)
App 20140246751 - Cheng; Kuo-Yu ;   et al.
2014-09-04
Contact structure for reducing gate resistance and method of making the same
Grant 8,765,600 - Chang , et al. July 1, 2
2014-07-01
Semiconductor device structure for reducing mismatch effects
Grant 8,330,251 - Chang , et al. December 11, 2
2012-12-11
Capacitors integrated with metal gate formation
Grant 8,237,209 - Chang , et al. August 7, 2
2012-08-07
Contact Structure For Reducing Gate Resistance And Method Of Making The Same
App 20120104471 - CHANG; Chung-Long ;   et al.
2012-05-03
Interdigitated capacitive structure for an integrated circuit
Grant 8,169,014 - Chen , et al. May 1, 2
2012-05-01
Protection Structure For Metal-oxide-metal Capacitor
App 20120092806 - HUA; Wei-Chun ;   et al.
2012-04-19
Capacitors Integrated with Metal Gate Formation
App 20110309420 - Chang; Chung-Long ;   et al.
2011-12-22
MOM capacitors integrated with air-gaps
Grant 8,053,865 - Chang , et al. November 8, 2
2011-11-08
Capacitors integrated with metal gate formation
Grant 8,022,458 - Chang , et al. September 20, 2
2011-09-20
Capacitor pairs with improved mismatch performance
Grant 7,923,817 - Chen , et al. April 12, 2
2011-04-12
Antennas integrated in semiconductor chips
Grant 7,760,144 - Chang , et al. July 20, 2
2010-07-20
Antennas Integrated in Semiconductor Chips
App 20100026601 - Chang; Chung-Long ;   et al.
2010-02-04
Layout for capacitor pair with high capacitance matching
Grant 7,612,984 - Chen , et al. November 3, 2
2009-11-03
MOM Capacitors Integrated with Air-Gaps
App 20090224359 - Chang; Chung-Long ;   et al.
2009-09-10
Capacitor Pairs with Improved Mismatch Performance
App 20090212392 - Chen; Chia-Yi ;   et al.
2009-08-27
Capacitor pairs with improved mismatch performance
Grant 7,545,022 - Chen , et al. June 9, 2
2009-06-09
Capacitors Integrated with Metal Gate Formation
App 20090090951 - Chang; Chung-Long ;   et al.
2009-04-09
Capacitor pairs with improved mismatch performance
App 20080099879 - Chen; Chia-Yi ;   et al.
2008-05-01
Layout for capacitor pair with high capacitance matching
App 20080100989 - Chen; Chia-Yi ;   et al.
2008-05-01
Micro-etching method to replicate alignment marks for semiconductor wafer photolithography
Grant 7,338,909 - Lin , et al. March 4, 2
2008-03-04
Capacitor device with vertically arranged capacitor regions of various kinds
Grant 7,335,956 - Chen , et al. February 26, 2
2008-02-26
Semiconductor device structure for reducing mismatch effects
App 20070296013 - Chang; Chung-Long ;   et al.
2007-12-27
Interdigitated capacitive structure for an integrated circuit
App 20070158783 - Chen; Yueh-You ;   et al.
2007-07-12
Method to solve alignment mark blinded issues and technology for application of semiconductor etching at a tiny area
Grant 7,125,521 - Chang , et al. October 24, 2
2006-10-24
Capacitor device with vertically arranged capacitor regions of various kinds
App 20060180895 - Chen; Yueh-You ;   et al.
2006-08-17
High f.sub.MAX deep submicron MOSFET
Grant 7,061,056 - Tsai , et al. June 13, 2
2006-06-13
Integrated capacitor
Grant 7,050,290 - Tang , et al. May 23, 2
2006-05-23
Interdigitated capacitor and method for fabrication thereof
Grant 7,035,083 - Lin , et al. April 25, 2
2006-04-25
Micro-etching method to replicate alignment marks for semiconductor wafer photolithography
App 20050282396 - Lin, Yu-Liang ;   et al.
2005-12-22
Metal-over-metal devices and the method for manufacturing same
Grant 6,949,781 - Chang , et al. September 27, 2
2005-09-27
Interdigitated capacitor and method for fabrication therof
App 20050206469 - Lin, Wen-Chin ;   et al.
2005-09-22
Integrated capacitor
App 20050168914 - Tang, Denny ;   et al.
2005-08-04
Device and method for providing shielding in radio frequency integrated circuits to reduce noise coupling
Grant 6,888,063 - Lien , et al. May 3, 2
2005-05-03
Device And Method For Providing Shielding In Radio Frequency Integrated Circuits To Reduce Noise Coupling
App 20050082075 - Lien, Wai-Yi ;   et al.
2005-04-21
Compact capacitor structure having high unit capacitance
App 20050082592 - Chang, Chung Long ;   et al.
2005-04-21
Metal-over-metal devices and the method for manufacturing same
App 20050077581 - Chang, Chung-Long ;   et al.
2005-04-14
Method to solve alignment mark blinded issues and technology for application of semiconductor etching at a tiny area
App 20040198017 - Chang, Chung-Long ;   et al.
2004-10-07
Method to solve alignment mark blinded issues and a technology for application of semiconductor etching at a tiny area
Grant 6,746,966 - Chang , et al. June 8, 2
2004-06-08
Self-aligned process for a stacked gate RF MOSFET device
Grant 6,737,310 - Tsai , et al. May 18, 2
2004-05-18
High fMAX deep submicron MOSFET
App 20040018673 - Tsai, Chao-Chieh ;   et al.
2004-01-29
High fMAX deep submicron MOSFET
Grant 6,613,623 - Tsai , et al. September 2, 2
2003-09-02
Process for polishing the top surface of a polysilicon gate
Grant 6,559,040 - Yu , et al. May 6, 2
2003-05-06
Self-aligned process for a stacked gate RF MOSFET device
App 20030008450 - Tsai, Chaochieh ;   et al.
2003-01-09
Self-aligned process for a stacked gate RF MOSFET device
Grant 6,465,294 - Tsai , et al. October 15, 2
2002-10-15
Multi-step chemical mechanical polish (CMP) planarizing method for forming patterned planarized aperture fill layer
Grant 6,391,792 - Jang , et al. May 21, 2
2002-05-21
Chemical mechanical polishing of polysilicon plug using a silicon nitride stop layer
App 20010019887 - Jang, Syun-Ming ;   et al.
2001-09-06
Chemical-mechanical polish method using an undoped silicon glass stop layer for polishing BPSG
Grant 6,271,123 - Jang , et al. August 7, 2
2001-08-07
Method to form self-aligned contacts with polysilicon plugs
Grant 6,268,281 - Shih , et al. July 31, 2
2001-07-31
Method of CMP of polysilicon
App 20010001082 - Chang, Chung-Long ;   et al.
2001-05-10
Process to improve adhesion of HSQ to underlying materials
Grant 6,153,512 - Chang , et al. November 28, 2
2000-11-28
Methods to improve copper-fluorinated silica glass interconnects
Grant 6,136,680 - Lai , et al. October 24, 2
2000-10-24
Copper chemical-mechanical-polishing (CMP) dishing
Grant 6,010,962 - Liu , et al. January 4, 2
2000-01-04
Alignment method for used in chemical mechanical polishing process
Grant 5,933,744 - Chen , et al. August 3, 1
1999-08-03
Method of fabricating a readable alignment mark structure using enhanced chemical mechanical polishing
Grant 5,786,260 - Jang , et al. July 28, 1
1998-07-28
Method for CMP cleaning improvement
Grant 5,709,755 - Kuo , et al. January 20, 1
1998-01-20

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed