loadpatents
name:-0.67716503143311
name:-0.17184901237488
name:-0.025830984115601
Cai; Xiuyu Patent Filings

Cai; Xiuyu

Patent Applications and Registrations

Patent applications and USPTO patent grants for Cai; Xiuyu.The latest application filed is for "light detection devices with protective liner and methods related to same".

Company Profile
26.170.177
  • Cai; Xiuyu - Niskayuna NY
  • Cai; Xiuyu - San Diego CA
  • Cai; Xiuyu - Albany NY
  • Cai; Xiuyu - Nishayuna NY
  • CAI; Xiuyu - Changchun CN
  • Cai; Xiuyu - Sunnyvale CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Forming replacement low-k spacer in tight pitch fin field effect transistors
Grant 11,374,111 - Cai , et al. June 28, 2
2022-06-28
Two-filter light detection devices and methods related to same
Grant 11,256,033 - Cai February 22, 2
2022-02-22
Light Detection Devices With Protective Liner And Methods Related To Same
App 20210208072 - CAI; Xiuyu ;   et al.
2021-07-08
Image Sensor Structure
App 20210098521 - CAI; Xiuyu ;   et al.
2021-04-01
Light detection devices with protective liner and methods related to same
Grant 10,955,343 - Cai , et al. March 23, 2
2021-03-23
Image sensor structure
Grant 10,879,296 - Cai , et al. December 29, 2
2020-12-29
Two-filter Light Detection Devices And Methods Related To Same
App 20200264376 - CAI; Xiuyu
2020-08-20
Large area contacts for small transistors
Grant 10,749,031 - Cai , et al. A
2020-08-18
Unmerged epitaxial process for FinFET devices with aggressive fin pitch scaling
Grant 10,734,499 - Cai , et al.
2020-08-04
Flow Cell Systems And Methods Related To Same
App 20200164360 - RIVAL; Arnaud ;   et al.
2020-05-28
Forming Replacement Low-k Spacer In Tight Pitch Fin Field Effect Transistors
App 20200152765 - CAI; XIUYU ;   et al.
2020-05-14
Two-filter light detection devices and methods related to same
Grant 10,649,145 - Cai
2020-05-12
Sensors Having Integrated Protection Circuitry
App 20200132605 - Fung; Tracy Helen ;   et al.
2020-04-30
Structure and Method to Use Active Surface of a Sensor
App 20200124523 - Lu; Donglai ;   et al.
2020-04-23
Semiconductor structure including low-K spacer material
Grant 10,629,743 - Cai , et al.
2020-04-21
Forming replacement low-K spacer in tight pitch fin field effect transistors
Grant 10,622,457 - Cai , et al.
2020-04-14
FinFET including tunable fin height and tunable fin width ratio
Grant 10,622,357 - Cai , et al.
2020-04-14
Forming replacement low-K spacer in tight pitch fin field effect transistors
Grant 10,593,780 - Cai , et al.
2020-03-17
CMOS structure having low resistance contacts and fabrication method
Grant 10,546,856 - Liu , et al. Ja
2020-01-28
Method and structure for protecting gates during epitaxial growth
Grant 10,446,665 - Cai , et al. Oc
2019-10-15
Unmerged epitaxial process for FinFET devices with aggressive fin pitch scaling
Grant 10,388,754 - Cai , et al. A
2019-08-20
Semiconductor structure including low-k spacer material
Grant 10,361,311 - Cai , et al.
2019-07-23
FinFETs having strained channels, and methods of fabricating finFETs having strained channels
Grant 10,355,020 - Liu , et al. July 16, 2
2019-07-16
High doped III-V source/drain junctions for field effect transistors
Grant 10,355,086 - Cai , et al. July 16, 2
2019-07-16
Finfet Including Tunable Fin Height And Tunable Fin Width Ratio
App 20190206868 - Cai; Xiuyu ;   et al.
2019-07-04
Light Detection Devices With Protective Liner And Methods Related To Same
App 20190195797 - CAI; Xiuyu ;   et al.
2019-06-27
Image Sensor Structure
App 20190198553 - CAI; Xiuyu ;   et al.
2019-06-27
Two-filter Light Detection Devices And Methods Related To Same
App 20190196108 - CAI; Xiuyu
2019-06-27
Semiconductor Structure Including Low-k Spacer Material
App 20190148557 - Cai; Xiuyu ;   et al.
2019-05-16
Semiconductor device having fins with in-situ doped, punch-through stopper layer and related methods
Grant 10,290,636 - Liu , et al.
2019-05-14
FinFET including tunable fin height and tunable fin width ratio
Grant 10,276,573 - Cai , et al.
2019-04-30
High doped III-V source/drain junctions for field effect transistors
Grant 10,256,304 - Cai , et al.
2019-04-09
Methods of forming a protection layer on a semiconductor device and the resulting device
Grant 10,249,726 - Xie , et al.
2019-04-02
Semiconductor structure including low-K spacer material
Grant 10,217,869 - Cai , et al. Feb
2019-02-26
Semiconductor device with fins including sidewall recesses
Grant 10,153,371 - Cai , et al. Dec
2018-12-11
Vertical slit transistor with optimized AC performance
Grant 10,134,903 - Liu , et al. November 20, 2
2018-11-20
Series resistance reduction in vertically stacked silicon nanowire transistors
Grant 10,134,840 - Yeh , et al. November 20, 2
2018-11-20
Unmerged Epitaxial Process For Finfet Devices With Aggressive Fin Pitch Scaling
App 20180277648 - Cai; Xiuyu ;   et al.
2018-09-27
Semiconductor devices having low contact resistance and low current leakage
Grant 10,062,762 - Liu , et al. August 28, 2
2018-08-28
Semiconductor Structure Including Low-k Spacer Material
App 20180219096 - Cai; Xiuyu ;   et al.
2018-08-02
Semiconductor integrated structure having an epitaxial SiGe layer extending from silicon-containing regions formed between segments of oxide regions
Grant 10,032,912 - Morin , et al. July 24, 2
2018-07-24
Unmerged epitaxial process for FinFET devices with aggressive fin pitch scaling
Grant 10,032,884 - Cai , et al. July 24, 2
2018-07-24
Method And Structure For Protecting Gates During Epitaxial Growth
App 20180190787 - Cai; Xiuyu ;   et al.
2018-07-05
Field effect transistor device spacers
Grant 10,014,299 - Cai , et al. July 3, 2
2018-07-03
Methods of forming semiconductor device with self-aligned contact elements and the resulting device
Grant 10,014,379 - Xie , et al. July 3, 2
2018-07-03
Gate structure cut after formation of epitaxial active regions
Grant 10,008,415 - Cai , et al. June 26, 2
2018-06-26
High Doped Iii-v Source/drain Junctions For Field Effect Transistors
App 20180175202 - Cai; Xiuyu ;   et al.
2018-06-21
Replacement low-k spacer
Grant 9,985,135 - Cai , et al. May 29, 2
2018-05-29
Method and structure for protecting gates during epitaxial growth
Grant 9,941,388 - Cai , et al. April 10, 2
2018-04-10
High doped III-V source/drain junctions for field effect transistors
Grant 9,935,201 - Cai , et al. April 3, 2
2018-04-03
Method for making semiconductor device with filled gate line end recesses
Grant 9,935,179 - Cai , et al. April 3, 2
2018-04-03
Semiconductor Structure Including Low-k Spacer Material
App 20180090327 - Cai; Xiuyu ;   et al.
2018-03-29
Method for making a semiconductor device with sidewal spacers for confinig epitaxial growth
Grant 9,929,253 - Cai , et al. March 27, 2
2018-03-27
Method for making strained semiconductor device and related methods
Grant 9,922,883 - Cai , et al. March 20, 2
2018-03-20
High doped III-V source/drain junctions for field effect transistors
Grant 9,917,195 - Cai , et al. March 13, 2
2018-03-13
Replacement low-k spacer
Grant 9,892,926 - Cai , et al. February 13, 2
2018-02-13
FinFET including tunable fin height and tunable fin width ratio
Grant 9,887,196 - Cai , et al. February 6, 2
2018-02-06
Hetero-channel FinFET
Grant 9,859,423 - Liu , et al. January 2, 2
2018-01-02
Buried source-drain contact for integrated circuit transistor devices and method of making same
Grant 9,793,171 - Liu , et al. October 17, 2
2017-10-17
FinFET semiconductor devices with replacement gate structures
Grant 9,773,867 - Xie , et al. September 26, 2
2017-09-26
Trench epitaxial growth for a FinFET device having reduced capacitance
Grant 9,755,031 - Liu , et al. September 5, 2
2017-09-05
Multi-channel gate-all-around FET
Grant 9,748,352 - Liu , et al. August 29, 2
2017-08-29
Method For Making Semiconductor Device With Filled Gate Line End Recesses
App 20170200812 - CAI; XIUYU ;   et al.
2017-07-13
Replacement Low-k Spacer
App 20170194499 - Cai; Xiuyu ;   et al.
2017-07-06
Replacement Low-k Spacer
App 20170194153 - Cai; Xiuyu ;   et al.
2017-07-06
Methods Of Forming A Protection Layer On A Semiconductor Device And The Resulting Device
App 20170179246 - Xie; Ruilong ;   et al.
2017-06-22
High-reliability, low-resistance contacts for nanoscale transistors
Grant 9,685,555 - Liu , et al. June 20, 2
2017-06-20
Topological method to build self-aligned MTJ without a mask
Grant 9,666,791 - Zhang , et al. May 30, 2
2017-05-30
Replacement Low-k Spacer
App 20170148894 - Cai; Xiuyu ;   et al.
2017-05-25
LDMOS finFET device and method of manufacture using a trench confined epitaxial growth process
Grant 9,660,083 - Liu , et al. May 23, 2
2017-05-23
Method of forming a reduced resistance fin structure
Grant 9,660,057 - Liu , et al. May 23, 2
2017-05-23
Replacement low-k spacer
Grant 9,660,050 - Cai , et al. May 23, 2
2017-05-23
Gate Structure Cut After Formation Of Epitaxial Active Regions
App 20170140994 - Cai; Xiuyu ;   et al.
2017-05-18
Method for making semiconductor device with filled gate line end recesses
Grant 9,653,579 - Liu , et al. May 16, 2
2017-05-16
Unmerged Epitaxial Process For Finfet Devices With Aggressive Fin Pitch Scaling
App 20170117274 - Cai; Xiuyu ;   et al.
2017-04-27
Unmerged Epitaxial Process For Finfet Devices With Aggressive Fin Pitch Scaling
App 20170117276 - Cai; Xiuyu ;   et al.
2017-04-27
Methods of forming a protection layer on a semiconductor device and the resulting device
Grant 9,634,115 - Xie , et al. April 25, 2
2017-04-25
Forming reliable contacts on tight semiconductor pitch
Grant 9,634,004 - Cai , et al. April 25, 2
2017-04-25
Gate structure cut after formation of epitaxial active regions
Grant 9,633,906 - Cai , et al. April 25, 2
2017-04-25
High Doped Iii-v Source/drain Junctions For Field Effect Transistors
App 20170110583 - Cai; Xiuyu ;   et al.
2017-04-20
Self-aligned dielectric isolation for FinFET devices
Grant 9,627,377 - Bergendahl , et al. April 18, 2
2017-04-18
Forming Replacement Low-k Spacer In Tight Pitch Fin Field Effect Transistors
App 20170104082 - CAI; XIUYU ;   et al.
2017-04-13
Forming Replacement Low-k Spacer In Tight Pitch Fin Field Effect Transistors
App 20170103917 - CAI; XIUYU ;   et al.
2017-04-13
Semiconductor device with different fin sets
Grant 9,620,505 - Liu , et al. April 11, 2
2017-04-11
Field Effect Transistor Device Spacers
App 20170092645 - Cai; Xiuyu ;   et al.
2017-03-30
Vertical Slit Transistor With Optimized Ac Performance
App 20170077306 - Liu; Qing ;   et al.
2017-03-16
Methods Of Forming Semiconductor Device With Self-aligned Contact Elements And The Resulting Device
App 20170077247 - Xie; Ruilong ;   et al.
2017-03-16
Forming Reliable Contacts On Tight Semiconductor Pitch
App 20170069627 - Cai; Xiuyu ;   et al.
2017-03-09
Asymmetric FinFET semiconductor devices and methods for fabricating the same
Grant 9,583,597 - Cai , et al. February 28, 2
2017-02-28
Series Resistance Reduction In Vertically Stacked Silicon Nanowire Transistors
App 20170053982 - Cai; Xiuyu ;   et al.
2017-02-23
Method and structure of forming controllable unmerged epitaxial material
Grant 9,576,956 - Cai , et al. February 21, 2
2017-02-21
Recessing RMG metal gate stack for forming self-aligned contact
Grant 9,570,583 - Cai , et al. February 14, 2
2017-02-14
Forming reliable contacts on tight semiconductor pitch
Grant 9,564,358 - Cai , et al. February 7, 2
2017-02-07
Reduced trench profile for a gate
Grant 9,564,501 - Liu , et al. February 7, 2
2017-02-07
High Doped Iii-v Source/drain Junctions For Field Effect Transistors
App 20170033221 - Cai; Xiuyu ;   et al.
2017-02-02
High Doped Iii-v Source/drain Junctions For Field Effect Transistors
App 20170033197 - Cai; Xiuyu ;   et al.
2017-02-02
Gate structure cut after formation of epitaxial active regions
Grant 9,559,009 - Cai , et al. January 31, 2
2017-01-31
Dual channel finFET with relaxed pFET region
Grant 9,559,018 - Cai , et al. January 31, 2
2017-01-31
Method And Structure Of Forming Controllable Unmerged Epitaxial Material
App 20170012042 - Cai; Xiuyu ;   et al.
2017-01-12
Large Area Contacts For Small Transistors
App 20170012130 - CAI; Xiuyu ;   et al.
2017-01-12
Semiconductor devices with self-aligned contacts and low-k spacers
Grant 9,543,426 - Xie , et al. January 10, 2
2017-01-10
Methods of forming different spacer structures on integrated circuit products having differing gate pitch dimensions and the resulting products
Grant 9,536,877 - Cai , et al. January 3, 2
2017-01-03
Field effect transistor device spacers
Grant 9,536,981 - Cai , et al. January 3, 2
2017-01-03
MIS (Metal-Insulator-Semiconductor) contact structures for semiconductor devices
Grant 9,536,836 - Xie , et al. January 3, 2
2017-01-03
Methods of forming different FinFET devices having different fin heights and an integrated circuit product containing such devices
Grant 9,530,775 - Cai , et al. December 27, 2
2016-12-27
Recessing Rmg Metal Gate Stack For Forming Self-aligned Contact
App 20160372576 - Cai; Xiuyu ;   et al.
2016-12-22
Dual Channel Finfet With Relaxed Pfet Region
App 20160372493 - Cai; Xiuyu ;   et al.
2016-12-22
Series Resistance Reduction In Vertically Stacked Silicon Nanowire Transistors
App 20160365411 - Yeh; Chun-Chen ;   et al.
2016-12-15
Vertical slit transistor with optimized AC performance
Grant 9,515,180 - Liu , et al. December 6, 2
2016-12-06
Multi-channel gate-all-around FET
Grant 9,502,518 - Liu , et al. November 22, 2
2016-11-22
Semiconductor devices with dummy gate structures partially on isolation regions
Grant 9,496,354 - Xie , et al. November 15, 2
2016-11-15
Dual channel finFET with relaxed pFET region
Grant 9,496,185 - Cai , et al. November 15, 2
2016-11-15
Methods of forming replacement gate structures on finFET devices and the resulting devices
Grant 9,478,634 - Xie , et al. October 25, 2
2016-10-25
Methods of forming a FinFET semiconductor device with a unique gate configuration, and the resulting FinFET device
Grant 9,472,446 - Xie , et al. October 18, 2
2016-10-18
Large area contacts for small transistors
Grant 9,466,722 - Liu , et al. October 11, 2
2016-10-11
Finfets Having Strained Channels, And Methods Of Fabricating Finfets Having Strained Channels
App 20160293761 - Liu; Qing ;   et al.
2016-10-06
Finfet Semiconductor Devices With Stressed Channel Regions
App 20160293706 - Cai; Xiuyu ;   et al.
2016-10-06
Method For Making Strained Semiconductor Device And Related Methods
App 20160293494 - Cai; Xiuyu ;   et al.
2016-10-06
Macro to monitor n-p bump
Grant 9,460,969 - Cai , et al. October 4, 2
2016-10-04
Buried Source-drain Contact For Integrated Circuit Transistor Devices And Method Of Making Same
App 20160284599 - Liu; Qing ;   et al.
2016-09-29
Dual Channel Finfet With Relaxed Pfet Region
App 20160284607 - Cai; Xiuyu ;   et al.
2016-09-29
Macro To Monitor N-p Bump
App 20160284602 - Cai; Xiuyu ;   et al.
2016-09-29
Method For Making A Semiconductor Device With Sidewal Spacers For Confinig Epitaxial Growth
App 20160284822 - Cai; Xiuyu ;   et al.
2016-09-29
Method and structure of forming controllable unmerged epitaxial material
Grant 9,455,331 - Cai , et al. September 27, 2
2016-09-27
Recessing RMG metal gate stack for forming self-aligned contact
Grant 9,455,330 - Cai , et al. September 27, 2
2016-09-27
Mis (metal-insulator-semiconductor) Contact Structures For Semiconductor Devices
App 20160276275 - Xie; Ruilong ;   et al.
2016-09-22
Finfet Including Tunable Fin Height And Tunable Fin Width Ratio
App 20160276348 - Cai; Xiuyu ;   et al.
2016-09-22
Semiconductor Devices Having Fins, And Methods Of Forming Semiconductor Devices Having Fins
App 20160260741 - Liu; Qing ;   et al.
2016-09-08
Methods of forming gate structures for semiconductor devices using a replacement gate technique and the resulting devices
Grant 9,437,711 - Cai , et al. September 6, 2
2016-09-06
Dual-strained nanowire and FinFET devices with dielectric isolation
Grant 9,431,539 - Qi , et al. August 30, 2
2016-08-30
Method for making a semiconductor device with sidewall spacers for confining epitaxial growth
Grant 9,431,540 - Liu , et al. August 30, 2
2016-08-30
Integrated circuits including FINFET devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
Grant 9,425,319 - Cai , et al. August 23, 2
2016-08-23
Semiconductor device with low-K spacers
Grant 9,425,280 - Cai , et al. August 23, 2
2016-08-23
Field effect transistor device spacers
Grant 9,425,292 - Cai , et al. August 23, 2
2016-08-23
Stress memorization film and oxide isolation in fins
Grant 9,419,137 - Bello , et al. August 16, 2
2016-08-16
Method for making strained semiconductor device and related methods
Grant 9,406,751 - Liu , et al. August 2, 2
2016-08-02
Methods of forming MIS contact structures for semiconductor devices and the resulting devices
Grant 9,390,939 - Xie , et al. July 12, 2
2016-07-12
FinFETs having strained channels, and methods of fabricating finFETs having strained channels
Grant 9,391,200 - Liu , et al. July 12, 2
2016-07-12
Semiconductor Device With Different Fin Sets
App 20160197072 - Liu; Qing ;   et al.
2016-07-07
Buried source-drain contact for integrated circuit transistor devices and method of making same
Grant 9,385,201 - Liu , et al. July 5, 2
2016-07-05
Large Area Contacts For Small Transistors
App 20160190322 - LIU; Qing ;   et al.
2016-06-30
Defect-free Strain Relaxed Buffer Layer
App 20160190304 - MORIN; Pierre ;   et al.
2016-06-30
Hetero-channel Finfet
App 20160190317 - LIU; Qing ;   et al.
2016-06-30
Vertical Slit Transistor With Optimized Ac Performance
App 20160190314 - Liu; Qing ;   et al.
2016-06-30
High-reliability, Low-resistance Contacts For Nanoscale Transistors
App 20160190325 - LIU; Qing ;   et al.
2016-06-30
Selectively forming a protective conductive cap on a metal gate electrode
Grant 9,379,209 - Cai , et al. June 28, 2
2016-06-28
Trench Epitaxial Growth For A Finfet Device Having Reduced Capacitance
App 20160181381 - Liu; Qing ;   et al.
2016-06-23
Reduced Trench Profile For A Gate
App 20160181384 - LIU; Qing ;   et al.
2016-06-23
Semiconductor Devices Having Low Contact Resistance And Low Current Leakage
App 20160181390 - LIU; Qing ;   et al.
2016-06-23
Integrated circuits and methods for fabricating integrated circuits with improved contact structures
Grant 9,373,542 - Zhang , et al. June 21, 2
2016-06-21
Ldmos Finfet Device And Method Of Manufacture Using A Trench Confined Epitaxial Growth Process
App 20160163850 - Liu; Qing ;   et al.
2016-06-09
Recessing Rmg Metal Gate Stack For Forming Self-aligned Contact
App 20160149015 - Cai; Xiuyu ;   et al.
2016-05-26
Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
Grant 9,349,840 - Cai , et al. May 24, 2
2016-05-24
Topological Method To Build Self-aligned Mtj Without A Mask
App 20160141489 - ZHANG; Xunyuan ;   et al.
2016-05-19
Selectively Forming A Protective Conductive Cap On A Metal Gate Electrode
App 20160133721 - Cai; Xiuyu ;   et al.
2016-05-12
Methods Of Forming Replacement Gate Structures On Finfet Devices And The Resulting Devices
App 20160133719 - Xie; Ruilong ;   et al.
2016-05-12
Methods of forming fins for finFET semiconductor devices and the selective removal of such fins
Grant 9,337,050 - Xie , et al. May 10, 2
2016-05-10
Multi-channel Gate-all-around Fet
App 20160111513 - Liu; Qing ;   et al.
2016-04-21
Method for making a semiconductor device while avoiding nodules on a gate
Grant 9,318,579 - Liu , et al. April 19, 2
2016-04-19
Dual-strained Nanowire And Finfet Devices With Dielectric Isolation
App 20160104799 - QI; Yi ;   et al.
2016-04-14
Uniformly doped leakage current stopper to counter under channel leakage currents in bulk FinFET devices
Grant 9,306,001 - Cai , et al. April 5, 2
2016-04-05
Finfet Semiconductor Devices With Replacement Gate Structures
App 20160093692 - Xie; Ruilong ;   et al.
2016-03-31
Semiconductor Devices With Replacement Gate Structures
App 20160093713 - Xie; Ruilong ;   et al.
2016-03-31
Method for making semiconductor device with different fin sets
Grant 9,299,721 - Liu , et al. March 29, 2
2016-03-29
Method for single fin cuts using selective ion implants
Grant 9,287,130 - Cai , et al. March 15, 2
2016-03-15
Method for making semiconductor device with isolation pillars between adjacent semiconductor fins
Grant 9,281,382 - Liu , et al. March 8, 2
2016-03-08
FinFET semiconductor device with a recessed liner that defines a fin height of the FinFet device
Grant 9,269,815 - Cai , et al. February 23, 2
2016-02-23
Integrated circuits having gate cap protection and methods of forming the same
Grant 9,269,611 - Pham , et al. February 23, 2
2016-02-23
Methods Of Forming Mis Contact Structures For Semiconductor Devices By Selective Deposition Of Insulating Material And The Resulting Devices
App 20160049370 - Kamineni; Vimal ;   et al.
2016-02-18
Methods of forming a semiconductor device with a protected gate cap layer and the resulting device
Grant 9,263,537 - Pham , et al. February 16, 2
2016-02-16
Semiconductor device including vertically spaced semiconductor channel structures and related methods
Grant 9,263,338 - Liu , et al. February 16, 2
2016-02-16
Methods Of Forming Stressed Channel Regions For A Finfet Semiconductor Device And The Resulting Device
App 20160035863 - Cai; Xiuyu ;   et al.
2016-02-04
Gate Structure Cut After Formation Of Epitaxial Active Regions
App 20160027700 - Cai; Xiuyu ;   et al.
2016-01-28
FinFET semiconductor devices with local isolation features and methods for fabricating the same
Grant 9,245,979 - Cai , et al. January 26, 2
2016-01-26
Methods of forming finFET semiconductor devices using a replacement gate technique and the resulting devices
Grant 9,236,480 - Xie , et al. January 12, 2
2016-01-12
Methods of forming gate structures for semiconductor devices using a replacement gate technique and the resulting devices
Grant 9,236,258 - Xie , et al. January 12, 2
2016-01-12
Magnetic tunnel junction between metal layers of a semiconductor device
Grant 9,236,557 - Zhang , et al. January 12, 2
2016-01-12
Integrated Circuit Product With A Gate Height Registration Structure
App 20160005733 - Xie; Ruilong ;   et al.
2016-01-07
Methods of forming spacers on FinFETs and other semiconductor devices
Grant 9,231,051 - Cai , et al. January 5, 2
2016-01-05
Structure And Method Of Forming Silicide On Fins
App 20150380510 - Zhang; Xunyuan ;   et al.
2015-12-31
Method For Controlling Height Of A Fin Structure
App 20150380258 - Liu; Qing ;   et al.
2015-12-31
Semiconductor Devices Having Fins, And Methods Of Forming Semiconductor Devices Having Fins
App 20150372107 - Liu; Qing ;   et al.
2015-12-24
Method And Structure For Protecting Gates During Epitaxial Growth
App 20150372108 - Cai; Xiuyu ;   et al.
2015-12-24
Multi-channel Gate-all-around Fet
App 20150372104 - Liu; Qing ;   et al.
2015-12-24
Methods Of Forming A Finfet Semiconductor Device With A Unique Gate Configuration, And The Resulting Finfet Device
App 20150371892 - Xie; Ruilong ;   et al.
2015-12-24
Finfets Having Strained Channels, And Methods Of Fabricating Finfets Having Strained Channels
App 20150372140 - Liu; Qing ;   et al.
2015-12-24
Methods Of Forming A Protection Layer On A Semiconductor Device And The Resulting Device
App 20150364326 - Xie; Ruilong ;   et al.
2015-12-17
Method Of Forming A Reduced Resistance Fin Structure
App 20150364578 - Liu; Qing ;   et al.
2015-12-17
Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
Grant 9,214,553 - Cai , et al. December 15, 2
2015-12-15
Method For Making A Semiconductor Device While Avoiding Nodules On A Gate
App 20150357441 - Liu; Qing ;   et al.
2015-12-10
Method For Making Semiconductor Device With Isolation Pillars Between Adjacent Semiconductor Fins
App 20150357439 - LIU; Qing ;   et al.
2015-12-10
Buried Source-drain Contact For Integrated Circuit Transistor Devices And Method Of Making Same
App 20150357425 - Liu; Qing ;   et al.
2015-12-10
Semiconductor Devices And Methods For Forming A Gate With Reduced Defects
App 20150348787 - CAI; Xiuyu
2015-12-03
Method For Making A Semiconductor Device With Sidewall Spacers For Confining Epitaxial Growth
App 20150349085 - LIU; Qing ;   et al.
2015-12-03
Methods Of Forming Mis Contact Structures For Semiconductor Devices And The Resulting Devices
App 20150349083 - Xie; Ruilong ;   et al.
2015-12-03
FinFETs and techniques for controlling source and drain junction profiles in finFETs
Grant 9,202,919 - Liu , et al. December 1, 2
2015-12-01
Methods for forming vertical and sharp junctions in finFET structures
Grant 9,202,920 - Liu , et al. December 1, 2
2015-12-01
Integrated circuits with improved gate uniformity and methods for fabricating same
Grant 9,196,696 - Xie , et al. November 24, 2
2015-11-24
Method For Making Semiconductor Device With Filled Gate Line End Recesses
App 20150333155 - LIU; Qing ;   et al.
2015-11-19
Semiconductor Devices With Replacement Spacer Structures
App 20150333136 - Xie; Ruilong ;   et al.
2015-11-19
Method For Making Semiconductor Device With Different Fin Sets
App 20150333086 - LIU; Qing ;   et al.
2015-11-19
Integrated circuits and methods for fabricating integrated circuits with reduced parasitic capacitance
Grant 9,190,486 - Xie , et al. November 17, 2
2015-11-17
Prevention of fin erosion for semiconductor devices
Grant 9,190,487 - Khakifirooz , et al. November 17, 2
2015-11-17
Topological method to build self-aligned MTJ without a mask
Grant 9,190,260 - Zhang , et al. November 17, 2
2015-11-17
FinFET integrated circuits and methods for their fabrication
Grant 9,184,162 - Akarvardar , et al. November 10, 2
2015-11-10
Methods of forming gate structures for semiconductor devices using a replacement gate technique and the resulting devices
Grant 9,184,263 - Cai , et al. November 10, 2
2015-11-10
Methods Of Forming Gate Structures For Semiconductor Devices Using A Replacement Gate Technique And The Resulting Devices
App 20150311081 - Xie; Ruilong ;   et al.
2015-10-29
Finfet Device Comprising A Thermal Oxide Region Positioned Between A Portion Of The Fin And A Layer Of Insulating Material
App 20150311337 - Cai; Xiuyu ;   et al.
2015-10-29
Methods of forming replacement gate structures using a gate height register process to improve gate height uniformity and the resulting integrated circuit products
Grant 9,165,836 - Xie , et al. October 20, 2
2015-10-20
Structure and method of forming silicide on fins
Grant 9,159,617 - Zhang , et al. October 13, 2
2015-10-13
Finfet Including Tunable Fin Height And Tunable Fin Width Ratio
App 20150287648 - Cai; Xiuyu ;   et al.
2015-10-08
Methods of forming semiconductor device with self-aligned contact elements and the resulting devices
Grant 9,153,498 - Xie , et al. October 6, 2
2015-10-06
Methods Of Forming A Finfet Semiconductor Device So As To Reduce Punch-through Leakage Currents And The Resulting Device
App 20150279963 - Xie; Ruilong ;   et al.
2015-10-01
Finfet Devices With Different Fin Heights In The Channel And Source/drain Regions
App 20150279999 - Xie; Ruilong ;   et al.
2015-10-01
Methods Of Forming Replacement Gate Structures Using A Gate Height Register Process To Improve Gate Height Uniformity And The Resulting Integrated Circuit Products
App 20150279742 - Xie; Ruilong ;   et al.
2015-10-01
Methods of forming replacement spacer structures on semiconductor devices
Grant 9,147,748 - Xie , et al. September 29, 2
2015-09-29
Methods of forming a FinFET semiconductor device so as to reduce punch-through leakage currents and the resulting device
Grant 9,142,651 - Xie , et al. September 22, 2
2015-09-22
Semiconductor Device With Self-aligned Contact Elements
App 20150263160 - Xie; Ruilong ;   et al.
2015-09-17
Methods Of Forming Stressed Channel Regions For A Finfet Semiconductor Device And The Resulting Device
App 20150255608 - Cai; Xiuyu ;   et al.
2015-09-10
Semiconductor Device With Low-k Spacers
App 20150255561 - Cai; Xiuyu ;   et al.
2015-09-10
Methods Of Forming Stressed Channel Regions For A Finfet Semiconductor Device And The Resulting Device
App 20150255542 - Cai; Xiuyu ;   et al.
2015-09-10
Replacement low-K spacer
Grant 9,129,987 - Wan , et al. September 8, 2
2015-09-08
Methods Of Forming Different Spacer Structures On Integrated Circuit Products Having Differing Gate Pitch Dimensions And The Resulting Products
App 20150249036 - Cai; Xiuyu ;   et al.
2015-09-03
Cmos Structure Having Low Resistance Contacts And Fabrication Method
App 20150243660 - LIU; Qing ;   et al.
2015-08-27
Cap Layers For Semiconductor Devices With Self-aligned Contact Elements
App 20150243604 - Xie; Ruilong ;   et al.
2015-08-27
Methods of forming replacement gate structures for semiconductor devices and the resulting semiconductor products
Grant 9,117,908 - Xie , et al. August 25, 2
2015-08-25
Methods of forming a dielectric cap layer on a metal gate structure
Grant 9,117,877 - Cai , et al. August 25, 2
2015-08-25
Method For Making Semiconductor Device With Stressed Semiconductor And Related Devices
App 20150228781 - CAI; Xiuyu ;   et al.
2015-08-13
Gate Structure Cut After Formation Of Epitaxial Active Regions
App 20150214219 - Cai; Xiuyu ;   et al.
2015-07-30
Structure And Method Of Forming Silicide On Fins
App 20150214105 - Zhang; Xunyuan ;   et al.
2015-07-30
Replacement Low-k Spacer
App 20150214330 - WAN; Jing ;   et al.
2015-07-30
Achieving greater planarity between upper surfaces of a layer and a conductive structure residing therein
Grant 9,093,401 - Zhang , et al. July 28, 2
2015-07-28
Integrated Circuits Having Gate Cap Protection And Methods Of Forming The Same
App 20150206844 - Pham; Daniel Thanh Khae ;   et al.
2015-07-23
Magnetic Tunnel Junction Between Metal Layers Of A Semiconductor Device
App 20150200353 - Zhang; Xunyuan ;   et al.
2015-07-16
LDMOS FinFET device using a long channel region and method of manufacture
Grant 9,082,852 - Liu , et al. July 14, 2
2015-07-14
Method and device for self-aligned contact on a non-recessed metal gate
Grant 9,076,816 - Zhang , et al. July 7, 2
2015-07-07
Methods Of Forming Gate Structures For Semiconductor Devices Using A Replacement Gate Technique And The Resulting Devices
App 20150187905 - Cai; Xiuyu ;   et al.
2015-07-02
Methods of forming cap layers for semiconductor devices with self-aligned contact elements and the resulting devices
Grant 9,070,711 - Xie , et al. June 30, 2
2015-06-30
FinFet integrated circuits with uniform fin height and methods for fabricating the same
Grant 9,070,742 - Xie , et al. June 30, 2
2015-06-30
Finfet Integrated Circuits And Methods For Their Fabrication
App 20150179644 - Akarvardar; Murat Kerem ;   et al.
2015-06-25
Methods of forming a semiconductor device with low-k spacers and the resulting device
Grant 9,064,948 - Cai , et al. June 23, 2
2015-06-23
Methods of forming isolation material on FinFET semiconductor devices and the resulting devices
Grant 9,064,890 - Xie , et al. June 23, 2
2015-06-23
Selective Growth of a Work-Function Metal in a Replacement Metal Gate of a Semiconductor Device
App 20150171086 - Cai; Xiuyu ;   et al.
2015-06-18
Methods Of Forming Replacement Gate Structures For Semiconductor Devices And The Resulting Semiconductor Products
App 20150171216 - Xie; Ruilong ;   et al.
2015-06-18
Methods Of Forming Spacers On Finfets And Other Semiconductor Devices
App 20150145071 - Cai; Xiuyu ;   et al.
2015-05-28
Method And Device For Self-aligned Contact On A Non-recessed Metal Gate
App 20150137273 - ZHANG; Xunyuan ;   et al.
2015-05-21
Methods Of Forming Gate Structures For Semiconductor Devices Using A Replacement Gate Technique And The Resulting Devices
App 20150137271 - Cai; Xiuyu ;   et al.
2015-05-21
Integrated Circuits And Methods For Fabricating Integrated Circuits With Improved Contact Structures
App 20150137373 - Zhang; Xunyuan ;   et al.
2015-05-21
Semiconductor devices and methods of fabrication with reduced gate and contact resistances
Grant 9,029,920 - Xie , et al. May 12, 2
2015-05-12
Selective growth of a work-function metal in a replacement metal gate of a semiconductor device
Grant 9,018,711 - Cai , et al. April 28, 2
2015-04-28
Selective Growth Of A Work-function Metal In A Replacement Metal Gate Of A Semiconductor Device
App 20150108577 - Cai; Xiuyu ;   et al.
2015-04-23
Semiconductor Device Including Vertically Spaced Semiconductor Channel Structures And Related Methods
App 20150108573 - Liu; Qing ;   et al.
2015-04-23
Integrated Circuits Including Finfet Devices With Lower Contact Resistance And Reduced Parasitic Capacitance And Methods For Fabricating The Same
App 20150102422 - Cai; Xiuyu ;   et al.
2015-04-16
Semiconductor Device Including Stress Layer Adjacent Channel And Related Methods
App 20150102410 - LIU; QING ;   et al.
2015-04-16
FinFET devices having recessed liner materials to define different fin heights
Grant 9,000,537 - Cai , et al. April 7, 2
2015-04-07
Methods Of Forming Finfet Semiconductor Devices Using A Replacement Gate Technique And The Resulting Devices
App 20150091100 - Xie; Ruilong ;   et al.
2015-04-02
FinFET integrated circuits and methods for their fabrication
Grant 8,987,094 - Akarvardar , et al. March 24, 2
2015-03-24
Self-aligned Dielectric Isolation For Finfet Devices
App 20150061040 - Bergendahl; Marc Adam ;   et al.
2015-03-05
Methods of forming spacers on FinFETs and other semiconductor devices
Grant 8,962,413 - Cai , et al. February 24, 2
2015-02-24
Methods Of Forming A Semiconductor Device With A Protected Gate Cap Layer And The Resulting Device
App 20150041869 - Pham; Daniel ;   et al.
2015-02-12
Methods Of Forming Spacers On Finfets And Other Semiconductor Devices
App 20150044855 - Cai; Xiuyu ;   et al.
2015-02-12
Methods Of Forming Cap Layers For Semiconductor Devices With Self-aligned Contact Elements And The Resulting Devices
App 20150035086 - Xie; Ruilong ;   et al.
2015-02-05
Methods of forming semiconductor device with self-aligned contact elements and the resulting devices
Grant 8,946,075 - Cai , et al. February 3, 2
2015-02-03
Integrated circuits having replacement gate structures and methods for fabricating the same
Grant 8,946,793 - Xie , et al. February 3, 2
2015-02-03
Self-aligned dielectric isolation for FinFET devices
Grant 8,941,156 - Bergendahl , et al. January 27, 2
2015-01-27
Methods of forming semiconductor device with self-aligned contact elements and the resulting devices
Grant 8,940,633 - Cai , et al. January 27, 2
2015-01-27
Methods Of Forming Semiconductor Device With Self-aligned Contact Elements And The Resulting Devices
App 20150021683 - Xie; Ruilong ;   et al.
2015-01-22
Semiconductor devices having improved gate height uniformity and methods for fabricating same
Grant 8,936,979 - Xie , et al. January 20, 2
2015-01-20
Finfet Integrated Circuits And Methods For Their Fabrication
App 20150014776 - Akarvardar; Murat Kerem ;   et al.
2015-01-15
Methods of forming semiconductor device with self-aligned contact elements and the resulting device
Grant 8,928,048 - Xie , et al. January 6, 2
2015-01-06
Integrated circuits including FINFET devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
Grant 8,921,191 - Cai , et al. December 30, 2
2014-12-30
Methods Of Forming Different Finfet Devices Having Different Fin Heights And An Integrated Circuit Product Containing Such Devices
App 20140367795 - Cai; Xiuyu ;   et al.
2014-12-18
Achieving Greater Planarity Between Upper Surfaces Of A Layer And A Conductive Structure Residing Therein
App 20140370705 - ZHANG; Xunyuan ;   et al.
2014-12-18
Methods of forming a semiconductor device with a protected gate cap layer and the resulting device
Grant 8,906,754 - Pham , et al. December 9, 2
2014-12-09
Methods Of Forming Conductive Structures Using A Sacrificial Material During A Metal Hard Mask Removal Process
App 20140357079 - Tanwar; Kunaljeet ;   et al.
2014-12-04
Semiconductor Devices And Methods Of Fabrication With Reduced Gate And Contact Resistances
App 20140353734 - XIE; Ruilong ;   et al.
2014-12-04
Methods Of Forming Conductive Structures Using A Sacrificial Material During An Etching Process That Is Performed To Remove A Metal Hard Mask
App 20140357078 - Zhang; Xunyuan ;   et al.
2014-12-04
Methods of forming spacers on FinFETs and other semiconductor devices
Grant 8,900,941 - Cai , et al. December 2, 2
2014-12-02
Finfet Semiconductor Devices With Local Isolation Features And Methods For Fabricating The Same
App 20140346599 - Cai; Xiuyu ;   et al.
2014-11-27
Asymmetric Finfet Semiconductor Devices And Methods For Fabricating The Same
App 20140346574 - Cai; Xiuyu ;   et al.
2014-11-27
Methods of forming conductive structures using a sacrificial material during a metal hard mask removal process
Grant 8,883,631 - Tanwar , et al. November 11, 2
2014-11-11
Achieving greater planarity between upper surfaces of a layer and a conductive structure residing therein
Grant 8,883,020 - Zhang , et al. November 11, 2
2014-11-11
Facilitating gate height uniformity and inter-layer dielectric protection
Grant 8,883,623 - Xie , et al. November 11, 2
2014-11-11
Finfet Device With An Etch Stop Layer Positioned Between A Gate Structure And A Local Isolation Material
App 20140327090 - Cai; Xiuyu ;   et al.
2014-11-06
Finfet Devices Having Recessed Liner Materials To Define Different Fin Heights
App 20140327089 - Cai; Xiuyu ;   et al.
2014-11-06
Finfet Semiconductor Device With A Recessed Liner That Defines A Fin Height Of The Finfet Device
App 20140327088 - Cai; Xiuyu ;   et al.
2014-11-06
Methods of forming a semiconductor device with a protected gate cap layer and the resulting device
Grant 8,871,582 - Pham , et al. October 28, 2
2014-10-28
Methods Of Forming Isolation Regions For Bulk Finfet Semiconductor Devices
App 20140315371 - Cai; Xiuyu ;   et al.
2014-10-23
Methods of forming 3-D semiconductor devices using a replacement gate technique and a novel 3-D device
Grant 8,846,477 - Cai , et al. September 30, 2
2014-09-30
Methods of increasing space for contact elements by using a sacrificial liner and the resulting device
Grant 8,841,711 - Cai , et al. September 23, 2
2014-09-23
Methods Of Increasing Space For Contact Elements By Using A Sacrificial Liner And The Resulting Device
App 20140264479 - Cai; Xiuyu ;   et al.
2014-09-18
Methods Of Forming A Semiconductor Device With A Protected Gate Cap Layer And The Resulting Device
App 20140264486 - Pham; Daniel ;   et al.
2014-09-18
Methods Of Forming A Semiconductor Device With A Protected Gate Cap Layer And The Resulting Device
App 20140264487 - Pham; Daniel ;   et al.
2014-09-18
Methods of forming bulk FinFET devices by performing a recessing process on liner materials to define different fin heights and FinFET devices with such recessed liner materials
Grant 8,835,262 - Cai , et al. September 16, 2
2014-09-16
Prevention Of Fin Erosion For Semiconductor Devices
App 20140256106 - Khakifirooz; Ali ;   et al.
2014-09-11
Methods Of Forming Semiconductor Device With Self-aligned Contact Elements And The Resulting Devices
App 20140252424 - Cai; Xiuyu ;   et al.
2014-09-11
Methods Of Forming Semiconductor Device With Self-aligned Contact Elements And The Resulting Devices
App 20140252425 - Cai; Xiuyu ;   et al.
2014-09-11
Methods of forming bulk FinFET semiconductor devices by performing a liner recessing process to define fin heights and FinFET devices with such a recessed liner
Grant 8,815,742 - Cai , et al. August 26, 2
2014-08-26
Integrated Circuits With Improved Gate Uniformity And Methods For Fabricating Same
App 20140231920 - Xie; Ruilong ;   et al.
2014-08-21
Prevention of fin erosion for semiconductor devices
Grant 8,809,920 - Khakifirooz , et al. August 19, 2
2014-08-19
Integrated Circuits Including Finfet Devices With Lower Contact Resistance And Reduced Parasitic Capacitance And Methods For Fabricating The Same
App 20140217517 - Cai; Xiuyu ;   et al.
2014-08-07
Integrated Circuits Having Replacement Gate Structures And Methods For Fabricating The Same
App 20140217482 - Xie; Ruilong ;   et al.
2014-08-07
Achieving Greater Planarity Between Upper Surfaces Of A Layer And A Conductive Structure Residing Therein
App 20140209563 - ZHANG; Xunyuan ;   et al.
2014-07-31
Finfet Integrated Circuits With Uniform Fin Height And Methods For Fabricating The Same
App 20140203376 - Xie; Ruilong ;   et al.
2014-07-24
Methods Of Forming Semiconductor Device With Self-aligned Contact Elements And The Resulting Device
App 20140197468 - Xie; Ruilong ;   et al.
2014-07-17
Self-aligned Dielectric Isolation For Finfet Devices
App 20140191296 - Bergendahl; Marc Adam ;   et al.
2014-07-10
Methods Of Forming Bulk Finfet Devices By Performing A Recessing Process On Liner Materials To Define Different Fin Heights And Finfet Devices With Such Recessed Liner Materials
App 20140191324 - Cai; Xiuyu ;   et al.
2014-07-10
Methods of forming semiconductor devices with self-aligned contacts and the resulting devices
Grant 8,753,970 - Xie , et al. June 17, 2
2014-06-17
Methods Of Forming Bulk Finfet Semiconductor Devices By Performing A Liner Recessing Process To Define Fin Heights And Finfet Devices With Such A Recessed Liner
App 20140159171 - Cai; Xiuyu ;   et al.
2014-06-12
Integrated circuits with improved gate uniformity and methods for fabricating same
Grant 8,748,309 - Xie , et al. June 10, 2
2014-06-10
Integrated circuit having a replacement gate structure and method for fabricating the same
Grant 8,735,272 - Cai , et al. May 27, 2
2014-05-27
Integrated Circuits And Methods For Fabricating Integrated Circuits With Reduced Parasitic Capacitance
App 20140138779 - Xie; Ruilong ;   et al.
2014-05-22
Prevention Of Fin Erosion For Semiconductor Devices
App 20140124840 - Khakifirooz; Ali ;   et al.
2014-05-08
Methods Of Forming A Semiconductor Device With Low-k Spacers And The Resulting Device
App 20140110798 - Cai; Xiuyu ;   et al.
2014-04-24
Facilitating Gate Height Uniformity And Inter-layer Dielectric Protection
App 20140110794 - XIE; Ruilong ;   et al.
2014-04-24
Methods of removing dummy fin structures when forming finFET devices
Grant 8,703,557 - Cai , et al. April 22, 2
2014-04-22
Methods for forming an integrated circuit with straightened recess profile
Grant 8,691,696 - Cai , et al. April 8, 2
2014-04-08
Methods Of Forming 3-d Semiconductor Devices Using A Replacement Gate Technique And A Novel 3-d Device
App 20140084383 - Cai; Xiuyu ;   et al.
2014-03-27
Integrated Circuits With Improved Gate Uniformity And Methods For Fabricating Same
App 20140077274 - Xie; Ruilong ;   et al.
2014-03-20
Methods Of Forming Semiconductor Devices With Self-aligned Contacts And The Resulting Devices
App 20140070285 - Xie; Ruilong ;   et al.
2014-03-13
Semiconductor Devices With Self-aligned Contacts And Low-k Spacers
App 20140042502 - Xie; Ruilong ;   et al.
2014-02-13
Integrated Circuit Having A Replacement Gate Structure And Method For Fabricating The Same
App 20140035010 - Cai; Xiuyu ;   et al.
2014-02-06
Semiconductor Devices Having Improved Gate Height Uniformity And Methods For Fabricating Same
App 20130328112 - Xie; Ruilong ;   et al.
2013-12-12
Methods For Forming An Integrated Circuit With Straightened Recess Profile
App 20130309868 - Cai; Xiuyu ;   et al.
2013-11-21
Methods of forming 3-D semiconductor devices with a nanowire gate structure wherein the nanowire gate structure is formed prior to source/drain formation
Grant 8,580,634 - Xie , et al. November 12, 2
2013-11-12
Methods Of Forming Spacers On Finfets And Other Semiconductor Devices
App 20130292805 - CAI; Xiuyu ;   et al.
2013-11-07
Methods of forming CMOS semiconductor devices
Grant 8,551,843 - Cai , et al. October 8, 2
2013-10-08
Methods of Forming Replacement Gate Structures for Semiconductor Devices
App 20130187236 - Xie; Ruilong ;   et al.
2013-07-25
Methods of Forming a Dielectric Cap Layer on a Metal Gate Structure
App 20130181263 - Cai; Xiuyu ;   et al.
2013-07-18
Chemical Vapor Deposition Improvements Through Radical-component Modification
App 20110159213 - Cai; Xiuyu ;   et al.
2011-06-30

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed