loadpatents
name:-0.031811952590942
name:-0.066492080688477
name:-0.018761157989502
Burns; Sean D. Patent Filings

Burns; Sean D.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Burns; Sean D..The latest application filed is for "selective gas etching for self-aligned pattern transfer".

Company Profile
19.70.66
  • Burns; Sean D. - Hopewell Junction NY
  • Burns; Sean D. - Prior Lake MN
  • Burns; Sean D. - Armonk NY US
  • Burns; Sean D. - Yorktown Heights NY US
  • Burns; Sean D. - Lakeville MN
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Selective Gas Etching For Self-aligned Pattern Transfer
App 20220262636 - Arnold; John Christopher ;   et al.
2022-08-18
Selective gas etching for self-aligned pattern transfer
Grant 11,302,533 - Arnold , et al. April 12, 2
2022-04-12
Self-aligned pattern formation for a semiconductor device
Grant 11,227,793 - Burns , et al. January 18, 2
2022-01-18
Alternating Hardmasks For Tight-pitch Line Formation
App 20210335619 - Burns; Sean D. ;   et al.
2021-10-28
Self Aligned Pattern Formation Post Spacer Etchback In Tight Pitch Configurations
App 20210280422 - Burns; Sean D. ;   et al.
2021-09-09
Selective Gas Etching For Self-aligned Pattern Transfer
App 20210183653 - Arnold; John Christopher ;   et al.
2021-06-17
Alternating hardmasks for tight-pitch line formation
Grant 11,031,248 - Burns , et al. June 8, 2
2021-06-08
Self aligned pattern formation post spacer etchback in tight pitch configurations
Grant 11,018,007 - Burns , et al. May 25, 2
2021-05-25
Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
Grant 10,957,583 - Burns , et al. March 23, 2
2021-03-23
Selective gas etching for self-aligned pattern transfer
Grant 10,930,504 - Arnold , et al. February 23, 2
2021-02-23
Self-aligned patterning methods which implement directed self-assembly
Grant 10,613,438 - Burns , et al.
2020-04-07
Self-aligned Pattern Formation For A Semiconductor Device
App 20200090985 - Burns; Sean D. ;   et al.
2020-03-19
Selective Gas Etching For Self-aligned Pattern Transfer
App 20200083045 - ARNOLD; John Christopher ;   et al.
2020-03-12
Self Aligned Pattern Formation Post Spacer Etchback In Tight Pitch Configurations
App 20200075336 - Burns; Sean D. ;   et al.
2020-03-05
Selective gas etching for self-aligned pattern transfer
Grant 10,559,467 - Arnold , et al. Feb
2020-02-11
Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
Grant 10,546,774 - Burns , et al. Ja
2020-01-28
Self aligned pattern formation post spacer etchback in tight pitch configurations
Grant 10,529,569 - Burns , et al. J
2020-01-07
Self-aligned Quadruple Patterning (saqp) For Routing Layouts Including Multi-track Jogs
App 20190393082 - Burns; Sean D. ;   et al.
2019-12-26
Alternating Hardmasks For Tight-pitch Line Formation
App 20190333774 - Burns; Sean D. ;   et al.
2019-10-31
Alternating hardmasks for tight-pitch line formation
Grant 10,410,875 - Burns , et al. Sept
2019-09-10
Self aligned conductive lines with relaxed overlay
Grant 10,395,985 - Burns , et al. A
2019-08-27
Multi-angled deposition and masking for custom spacer trim and selected spacer removal
Grant 10,388,525 - Bergendahl , et al. A
2019-08-20
Multi-angled deposition and masking for custom spacer trim and selected spacer removal
Grant 10,361,079 - Bergendahl , et al.
2019-07-23
Self-aligned Patterning Methods Which Implement Directed Self-assembly
App 20190221428 - Burns; Sean D. ;   et al.
2019-07-18
Alternating hardmasks for tight-pitch line formation
Grant 10,312,103 - Burns , et al.
2019-06-04
Self Aligned Pattern Formation Post Spacer Etchback In Tight Pitch Configurations
App 20180350599 - Burns; Sean D. ;   et al.
2018-12-06
Self aligned pattern formation post spacer etchback in tight pitch configurations
Grant 10,121,661 - Burns , et al. November 6, 2
2018-11-06
Selective Gas Etching For Self-aligned Pattern Transfer
App 20180286682 - ARNOLD; John Christopher ;   et al.
2018-10-04
Self aligned conductive lines with relaxed overlay
Grant 10,083,864 - Burns , et al. September 25, 2
2018-09-25
Alternating Hardmasks For Tight-pitch Line Formation
App 20180247824 - Burns; Sean D. ;   et al.
2018-08-30
Alternating Hardmasks For Tight-pitch Line Formation
App 20180247825 - Burns; Sean D. ;   et al.
2018-08-30
Self-aligned Pattern Formation For A Semiconductor Device
App 20180247864 - Burns; Sean D. ;   et al.
2018-08-30
Self-aligned pattern formation for a semiconductor device
Grant 10,056,290 - Burns , et al. August 21, 2
2018-08-21
Self-aligned Quadruple Patterning (saqp) For Routing Layouts Including Multi-track Jogs
App 20180233403 - Burns; Sean D. ;   et al.
2018-08-16
Self Aligned Conductive Lines With Relaxed Overlay
App 20180233408 - Burns; Sean D. ;   et al.
2018-08-16
Selective gas etching for self-aligned pattern transfer
Grant 10,032,632 - Arnold , et al. July 24, 2
2018-07-24
Self Aligned Pattern Formation Post Spacer Etchback In Tight Pitch Configurations
App 20180197738 - Burns; Sean D. ;   et al.
2018-07-12
Multi-angled deposition and masking for custom spacer trim and selected spacer removal
Grant 10,002,762 - Bergendahl , et al. June 19, 2
2018-06-19
Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
Grant 9,991,156 - Burns , et al. June 5, 2
2018-06-05
Aligning conductive vias with trenches
Grant 9,972,533 - Burns , et al. May 15, 2
2018-05-15
Selective Gas Etching For Self-aligned Pattern Transfer
App 20180096846 - ARNOLD; John Christopher ;   et al.
2018-04-05
Self aligned pattern formation post spacer etchback in tight pitch configurations
Grant 9,934,970 - Burns , et al. April 3, 2
2018-04-03
Multi-angled Deposition And Masking For Custom Spacer Trim And Selected Spacer Removal
App 20180076033 - Bergendahl; Marc A. ;   et al.
2018-03-15
Multi-angled Deposition And Masking For Custom Spacer Trim And Selected Spacer Removal
App 20180076035 - Bergendahl; Marc A. ;   et al.
2018-03-15
Multi-angled Deposition And Masking For Custom Spacer Trim And Selected Spacer Removal
App 20180076034 - Bergendahl; Marc A. ;   et al.
2018-03-15
Self aligned conductive lines
Grant 9,911,647 - Burns , et al. March 6, 2
2018-03-06
Aligning Conductive Vias With Trenches
App 20180025943 - Burns; Sean D. ;   et al.
2018-01-25
Self Aligned Conductive Lines With Relaxed Overlay
App 20180005885 - Burns; Sean D. ;   et al.
2018-01-04
Self-aligned Pattern Formation For A Semiconductor Device
App 20180005875 - Burns; Sean D. ;   et al.
2018-01-04
Self aligned conductive lines
Grant 9,852,946 - Burns , et al. December 26, 2
2017-12-26
Self Aligned Conductive Lines
App 20170358492 - Burns; Sean D. ;   et al.
2017-12-14
Self Aligned Conductive Lines
App 20170358487 - Burns; Sean D. ;   et al.
2017-12-14
Self-aligned Quadruple Patterning (saqp) For Routing Layouts Including Multi-track Jogs
App 20170352585 - Burns; Sean D. ;   et al.
2017-12-07
Self aligned conductive lines
Grant 9,786,554 - Burns , et al. October 10, 2
2017-10-10
Method and structure for cut material selection
Grant 9,779,944 - Burns , et al. October 3, 2
2017-10-03
Aligning conductive vias with trenches
Grant 9,773,700 - Burns , et al. September 26, 2
2017-09-26
Self aligned conductive lines with relaxed overlay
Grant 9,607,886 - Burns , et al. March 28, 2
2017-03-28
Chimney cap
Grant 9,377,196 - Burns , et al. June 28, 2
2016-06-28
Dual hard mask lithography process
Grant 9,373,580 - Arnold , et al. June 21, 2
2016-06-21
Process of multiple exposures with spin castable films
Grant 9,058,997 - Burkhardt , et al. June 16, 2
2015-06-16
Dual hard mask lithography process
Grant 8,916,337 - Arnold , et al. December 23, 2
2014-12-23
Sidewall image transfer process
Grant 8,883,649 - Yin , et al. November 11, 2
2014-11-11
Chimney Cap
App 20140323025 - Burns; Sean D. ;   et al.
2014-10-30
Chimney cap
Grant 8,734,209 - Burns , et al. May 27, 2
2014-05-27
Dual Hard Mask Lithography Process
App 20140110846 - Arnold; John C. ;   et al.
2014-04-24
Sidewall image transfer process with multiple critical dimensions
Grant 8,673,165 - Raghunathan , et al. March 18, 2
2014-03-18
Organic graded spin on BARC compositions for high NA lithography
Grant 8,652,762 - Goldfarb , et al. February 18, 2
2014-02-18
Process of making a lithographic structure using antireflective materials
Grant 8,609,322 - Angelopoulos , et al. December 17, 2
2013-12-17
Self aligning via patterning
Grant 8,518,824 - Arnold , et al. August 27, 2
2013-08-27
Dual Hard Mask Lithography Process
App 20130216776 - Arnold; John C. ;   et al.
2013-08-22
Tone Inversion With Partial Underlayer Etch For Semiconductor Device Formation
App 20130175658 - Arnold; John C. ;   et al.
2013-07-11
Tone inversion with partial underlayer etch for semiconductor device formation
Grant 8,470,711 - Arnold , et al. June 25, 2
2013-06-25
Sidewall Image Transfer Process With Multiple Critical Dimensions
App 20130089984 - Raghunathan; Sudharshanan ;   et al.
2013-04-11
Process Of Making A Lithographic Structure Using Antireflective Materials
App 20130017486 - Angelopoulos; Marie ;   et al.
2013-01-17
Antireflective hardmask composition and a method of preparing a patterned material using same
Grant 8,323,871 - Burns , et al. December 4, 2
2012-12-04
Self Aligning Via Patterning
App 20120302057 - Arnold; John Christopher ;   et al.
2012-11-29
Sidewall Image Transfer Process Employing A Cap Material Layer For A Metal Nitride Layer
App 20120282779 - Arnold; John C. ;   et al.
2012-11-08
Self aligning via patterning
Grant 8,298,943 - Arnold , et al. October 30, 2
2012-10-30
Sidewall image transfer process employing a cap material layer for a metal nitride layer
Grant 8,298,954 - Arnold , et al. October 30, 2
2012-10-30
Performing optical proximity correction by incorporating critical dimension correction
Grant 8,302,034 - Burns , et al. October 30, 2
2012-10-30
Process of making a lithographic structure using antireflective materials
Grant 8,293,454 - Angelopoulos , et al. October 23, 2
2012-10-23
Sidewall Image Transfer Process
App 20120244711 - Yin; Yunpeng ;   et al.
2012-09-27
Process of Multiple Exposures With Spin Castable Films
App 20120214311 - Burkhardt; Martin ;   et al.
2012-08-23
Organic Graded Spin On Barc Compositions For High Na Lithography
App 20120205787 - Goldfarb; Dario L. ;   et al.
2012-08-16
Tone Inversion With Partial Underlayer Etch
App 20120126358 - Arnold; John C. ;   et al.
2012-05-24
Organic graded spin on BARC compositions for high NA lithography
Grant 8,137,874 - Goldfarb , et al. March 20, 2
2012-03-20
Mask and etch process for pattern assembly
Grant 8,119,531 - Arnold , et al. February 21, 2
2012-02-21
Substrate planarization with imprint materials and processes
Grant 8,084,185 - Burns , et al. December 27, 2
2011-12-27
Characterizing films using optical filter pseudo substrate
Grant 8,080,849 - Zangooie , et al. December 20, 2
2011-12-20
Method for removing residues from a patterned substrate
Grant 8,053,368 - Burns , et al. November 8, 2
2011-11-08
Photolithography Focus Improvement By Reduction Of Autofocus Radiation Transmission Into Substrate
App 20110256486 - Brunner; Timothy A. ;   et al.
2011-10-20
Antireflective Hardmask Composition and a Method of Preparing a Patterned Material Using Same
App 20110207047 - Burns; Sean D. ;   et al.
2011-08-25
Dual exposure track only pitch split process
Grant 7,994,060 - Burns , et al. August 9, 2
2011-08-09
Process of making a semiconductor device using multiple antireflective materials
Grant 7,968,270 - Angelopoulos , et al. June 28, 2
2011-06-28
Multiple exposure lithography method incorporating intermediate layer patterning
Grant 7,914,975 - Burns , et al. March 29, 2
2011-03-29
Dual Exposure Track Only Pitch Split Process
App 20110049680 - Burns; Sean D. ;   et al.
2011-03-03
Photomask and method of making thereof
Grant 7,892,705 - Burns , et al. February 22, 2
2011-02-22
Graded spin-on organic antireflective coating for photolithography
Grant 7,816,069 - Brodsky , et al. October 19, 2
2010-10-19
Performing Optical Proximity Correction By Incorporating Critical Dimension Correction
App 20100199256 - Burns; Ryan L. ;   et al.
2010-08-05
Substrate Planarization With Imprint Materials And Processes
App 20100173247 - Burns; Sean D. ;   et al.
2010-07-08
Method For Removing Residues From A Patterned Substrate
App 20090246958 - Burns; Sean D. ;   et al.
2009-10-01
Graded spin-on organic antireflective coating for photolithography
Grant 7,588,879 - Brodsky , et al. September 15, 2
2009-09-15
Photolithography Focus Improvement By Reduction Of Autofocus Radiation Transmission Into Substrate
App 20090208865 - Brunner; Timothy A. ;   et al.
2009-08-20
Characterizing Films Using Optical Filter Pseudo Substrate
App 20090186427 - Zangooie; Shahin ;   et al.
2009-07-23
Organic Graded Spin On Barc Compositions For High Na Lithography
App 20090186294 - Goldfarb; Dario L. ;   et al.
2009-07-23
Process of multiple exposures with spin castable film
App 20090104566 - Burkhardt; Martin ;   et al.
2009-04-23
Photomask And Method Of Making Thereof
App 20090087755 - Burns; Sean D. ;   et al.
2009-04-02
Process Of Making A Lithographic Structure Using Antireflective Materials
App 20090061355 - Angelopoulos; Marie ;   et al.
2009-03-05
Process of making a semiconductor device using multiple antireflective materials
Grant 7,485,573 - Angelopoulos , et al. February 3, 2
2009-02-03
Process Of Making A Semiconductor Device Using Multiple Antireflective Materials
App 20080311508 - Angelopoulos; Marie ;   et al.
2008-12-18
Low refractive index polymers as underlayers for silicon-containing photoresists
Grant 7,439,302 - Huang , et al. October 21, 2
2008-10-21
Multiple Exposure Lithography Method Incorporating Intermediate Layer Patterning
App 20080254633 - Burns; Sean D. ;   et al.
2008-10-16
Graded Spin-on Organic Antireflective Coating for Photolithography
App 20080213707 - Brodsky; Colin J. ;   et al.
2008-09-04
Antireflective composition and process of making a lithographic structure
Grant 7,326,442 - Babich , et al. February 5, 2
2008-02-05
Low refractive index polymers as underlayers for silicon-containing photoresists
Grant 7,326,523 - Huang , et al. February 5, 2
2008-02-05
Silicon containing TARC/barrier layer
Grant 7,320,855 - Huang , et al. January 22, 2
2008-01-22
Graded spin-on organic antireflective coating for photolithography
App 20080008955 - Brodsky; Colin J. ;   et al.
2008-01-10
Chimney cap
App 20070202790 - Burns; Sean D. ;   et al.
2007-08-30
Process of making a semiconductor device using multiple antireflective materials
App 20070196748 - Angelopoulos; Marie ;   et al.
2007-08-23
Process of making a lithographic structure using antireflective materials
App 20070015082 - Angelopoulos; Marie ;   et al.
2007-01-18
Antireflective composition and process of making a lithographic structure
App 20070015083 - Babich; Katherina E. ;   et al.
2007-01-18
Low refractive index polymers as underlayers for silicon-containing photoresists
App 20060134547 - Huang; Wu-Song ;   et al.
2006-06-22
Low refractive index polymers as underlayers for silicon-containing photoresists
App 20060134546 - Huang; Wu-Song ;   et al.
2006-06-22
Silicon containing TARC / barrier layer
App 20060093959 - Huang; Wu-Song S. ;   et al.
2006-05-04

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed