loadpatents
name:-0.1639928817749
name:-0.16988110542297
name:-0.13962602615356
Briggs; Benjamin D. Patent Filings

Briggs; Benjamin D.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Briggs; Benjamin D..The latest application filed is for "method of cmp integration for improved optical uniformity in advanced lcos back-plane".

Company Profile
139.149.156
  • Briggs; Benjamin D. - Clifton Park NY
  • Briggs; Benjamin D. - Waterford NY
  • Briggs; Benjamin D. - Clinton Park NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Method Of Cmp Integration For Improved Optical Uniformity In Advanced Lcos Back-plane
App 20220223402 - Yu; Lan ;   et al.
2022-07-14
Selective Recessing To Form A Fully Aligned Via
App 20220181205 - Briggs; Benjamin D. ;   et al.
2022-06-09
Increasing cost benefit and energy efficiency with modular delivery drones in inclement weather
Grant 11,348,060 - Briggs , et al. May 31, 2
2022-05-31
Hybrid dielectric scheme for varying liner thickness and manganese concentration
Grant 11,348,872 - Briggs , et al. May 31, 2
2022-05-31
Structure And Method Of Advanced Lcos Back-plane Having Highly Reflective Pixel Via Metallization
App 20220165912 - Yu; Lan ;   et al.
2022-05-26
Structure And Method Of Mirror Grounding In Lcos Devices
App 20220163707 - Yu; Lan ;   et al.
2022-05-26
Structure And Method Of Advanced Lcos Back-plane Having Robust Pixel Via Metallization
App 20220163845 - Yu; Lan ;   et al.
2022-05-26
Structure And Method Of Bi-layer Pixel Isolation In Advanced Lcos Back-plane
App 20220163834 - Yu; Lan ;   et al.
2022-05-26
Method For Lcos Dbr Multilayer Stack Protection Via Sacrificial Hardmask For Rie And Cmp Processes
App 20220163846 - Yu; Lan ;   et al.
2022-05-26
Adjustable via dimension and chamfer angle
Grant 11,276,636 - Clevenger , et al. March 15, 2
2022-03-15
Proximity correction in three-dimensional manufacturing
Grant 11,263,068 - Briggs , et al. March 1, 2
2022-03-01
Selective recessing to form a fully aligned via
Grant 11,257,717 - Briggs , et al. February 22, 2
2022-02-22
Encapsulation topography-assisted self-aligned MRAM top contact
Grant 11,195,993 - Rizzolo , et al. December 7, 2
2021-12-07
Motion-controlled portals in virtual reality
Grant 11,164,377 - Sipolins , et al. November 2, 2
2021-11-02
Semiconductor Device Including A Porous Dielectric Layer, And Method Of Forming The Semiconductor Device
App 20210335706 - Briggs; Benjamin D. ;   et al.
2021-10-28
Selective CVD alignment-mark topography assist for non-volatile memory
Grant 11,158,584 - Rizzolo , et al. October 26, 2
2021-10-26
Secure access for drone package delivery
Grant 11,138,890 - Briggs , et al. October 5, 2
2021-10-05
Method for using 3D positional spatial olfaction for virtual marketing
Grant 11,132,712 - Briggs , et al. September 28, 2
2021-09-28
Dielectric damage-free dual damascene Cu interconnects without barrier at via bottom
Grant 11,101,172 - Motoyama , et al. August 24, 2
2021-08-24
Optimizating Semiconductor Binning By Feed-forward Process Adjustment
App 20210249288 - Briggs; Benjamin D. ;   et al.
2021-08-12
Forming barrierless contact
Grant 11,081,388 - Choi , et al. August 3, 2
2021-08-03
Selective Ild Deposition For Fully Aligned Via With Airgap
App 20210217653 - Penny; Christopher J. ;   et al.
2021-07-15
Optimizing semiconductor binning by feed-forward process adjustment
Grant 11,049,744 - Briggs , et al. June 29, 2
2021-06-29
Selective CVD alignment-mark topography assist for non-volatile memory
Grant 11,018,090 - Rizzolo , et al. May 25, 2
2021-05-25
Method of manufacturing an interconnect without dielectric exclusion zones by thermal decomposition of a sacrificial filler material
Grant 11,004,790 - Briggs , et al. May 11, 2
2021-05-11
Top via process accounting for misalignment by increasing reliability
Grant 10,991,619 - Zhang , et al. April 27, 2
2021-04-27
Interconnect with self-forming wrap-all-around barrier layer
Grant 10,978,342 - Huang , et al. April 13, 2
2021-04-13
Hybrid dielectric scheme for varying liner thickness and manganese concentration
Grant 10,978,393 - Briggs , et al. April 13, 2
2021-04-13
Remote physical training
Grant 10,971,030 - Briggs , et al. April 6, 2
2021-04-06
Self aligned via and pillar cut for at least a self aligned double pitch
Grant 10,957,581 - Briggs , et al. March 23, 2
2021-03-23
Self aligned via and pillar cut for at least a self aligned double pitch
Grant 10,957,582 - Briggs , et al. March 23, 2
2021-03-23
Hybrid BEOL metallization utilizing selective reflection mask
Grant 10,957,646 - Briggs , et al. March 23, 2
2021-03-23
Encapsulation Topography-Assisted Self-Aligned MRAM Top Contact
App 20210083179 - Rizzolo; Michael ;   et al.
2021-03-18
Selective Recessing To Form A Fully Aligned Via
App 20210082758 - Briggs; Benjamin D. ;   et al.
2021-03-18
Method and structure to construct cylindrical interconnects to reduce resistance
Grant 10,943,866 - Briggs , et al. March 9, 2
2021-03-09
Semiconductor Interconnect Structure With Double Conductors
App 20210043563 - Briggs; Benjamin D. ;   et al.
2021-02-11
Paint on micro chip touch screens
Grant 10,915,620 - Ashoori , et al. February 9, 2
2021-02-09
Back end of line electrical fuse structure and method of fabrication
Grant 10,916,501 - Briggs , et al. February 9, 2
2021-02-09
Dynamic rigidity mechanism
Grant 10,912,986 - Briggs , et al. February 9, 2
2021-02-09
Adjustable Via Dimension and Chamfer Angle
App 20210035904 - Clevenger; Lawrence A. ;   et al.
2021-02-04
Extreme ultraviolet (EUV) lithography patterning methods utilizing EUV resist hardening
Grant 10,901,317 - Briggs , et al. January 26, 2
2021-01-26
Self-aligned Contact Scheme For Pillar-based Memory Elements
App 20210020508 - Briggs; Benjamin D. ;   et al.
2021-01-21
Self-forming Barrier For Use In Air Gap Formation
App 20200402849 - Briggs; Benjamin D. ;   et al.
2020-12-24
Ion Implantation Assisted Curing For Flowable Porous Dielectrics
App 20200388531 - Sil; Devika ;   et al.
2020-12-10
Magnetic tunnel junction performance monitoring based on magnetic field coupling
Grant 10,830,841 - Lanzillo , et al. November 10, 2
2020-11-10
Selective recessing to form a fully aligned via
Grant 10,832,952 - Briggs , et al. November 10, 2
2020-11-10
Top Via Process Accounting For Misalignment By Increasing Reliability
App 20200335393 - Zhang; Chen ;   et al.
2020-10-22
Auto-incorrect in chatbot human-machine interfaces
Grant 10,812,417 - Briggs , et al. October 20, 2
2020-10-20
Low Aspect Ratio Interconnect
App 20200328156 - Briggs; Benjamin D. ;   et al.
2020-10-15
Semiconductor interconnect structure with double conductors
Grant 10,804,193 - Briggs , et al. October 13, 2
2020-10-13
Magnetic tunnel junction with low series resistance
Grant 10,796,833 - Lanzillo , et al. October 6, 2
2020-10-06
Semiconductor Device With Selective Insulator For Improved Capacitance
App 20200303239 - Penny; Christopher J. ;   et al.
2020-09-24
Self-aligned airgaps with conductive lines and vias
Grant 10,784,156 - Briggs , et al. Sept
2020-09-22
Method and structure to construct cylindrical interconnects to reduce resistance
Grant 10,784,197 - Briggs , et al. Sept
2020-09-22
Semiconductor device with selective dielectric deposition
Grant 10,777,411 - Nguyen , et al. Sept
2020-09-15
Self-forming barrier for use in air gap formation
Grant 10,763,166 - Briggs , et al. Sep
2020-09-01
Semiconductor device with selective insulator for improved capacitance
Grant 10,763,160 - Penny , et al. Sep
2020-09-01
Accelerated wafer testing using non-destructive and localized stress
Grant 10,746,782 - Briggs , et al. A
2020-08-18
Medication scheduling and alerts
Grant 10,747,850 - Ashoori , et al. A
2020-08-18
Accelerated wafer testing using non-destructive and localized stress
Grant 10,739,397 - Briggs , et al. A
2020-08-11
Fully aligned semiconductor device with a skip-level via
Grant 10,741,751 - Lanzillo , et al. A
2020-08-11
Top via back end of the line interconnect integration
Grant 10,734,277 - Yang , et al.
2020-08-04
Dielectric Damage-Free Dual Damascene Cu Interconnects Without Barrier at Via Bottom
App 20200243379 - Motoyama; Koichi ;   et al.
2020-07-30
Interconnect with Self-Forming Wrap-All-Around Barrier Layer
App 20200243383 - Huang; Huai ;   et al.
2020-07-30
Structure and method for forming fully-aligned trench with an up-via integration scheme
Grant 10,727,124 - Clevenger , et al.
2020-07-28
Forming High Carbon Content Flowable Dielectric Film With Low Processing Damage
App 20200234949 - Briggs; Benjamin D. ;   et al.
2020-07-23
Prevention of switching of spins in magnetic tunnel junctions by on-chip parasitic magnetic shield
Grant 10,720,567 - Briggs , et al.
2020-07-21
Forming Barrierless Contact
App 20200227313 - Choi; Kisik ;   et al.
2020-07-16
Non-intrusive unmanned entity inspection
Grant 10,676,216 - Chan , et al.
2020-06-09
Capacitance reduction in sea of lines BEOL metallization
Grant 10,679,934 - Briggs , et al.
2020-06-09
Hybrid Beol Metallization Utilizing Selective Reflection Mask
App 20200176388 - BRIGGS; Benjamin D. ;   et al.
2020-06-04
Low aspect ratio interconnect
Grant 10,672,707 - Briggs , et al.
2020-06-02
Back End Of Line Electrical Fuse Structure And Method Of Fabrication
App 20200161239 - Briggs; Benjamin D. ;   et al.
2020-05-21
Top Via Back End Of The Line Interconnect Integration
App 20200161175 - Yang; Chih-Chao ;   et al.
2020-05-21
Dedicated contacts for controlled electroforming of memory cells in resistive random-access memory array
Grant 10,658,585 - Ando , et al.
2020-05-19
Dielectric damage-free dual damascene Cu interconnects without barrier at via bottom
Grant 10,658,233 - Motoyama , et al.
2020-05-19
Selective ILD deposition for fully aligned via with airgap
Grant 10,651,078 - Penny , et al.
2020-05-12
Structure And Method For Forming Fully-aligned Trench With An Up-via Integration Scheme
App 20200135560 - Clevenger; Lawrence A. ;   et al.
2020-04-30
Fully Aligned Semiconductor Device With A Skip-level Via
App 20200136028 - Lanzillo; Nicholas A. ;   et al.
2020-04-30
Selective recessing to form a fully aligned via
Grant 10,636,706 - Briggs , et al.
2020-04-28
Cognitive System For Localized Lidar Pollution Detection Using Autonomous Vehicles
App 20200125969 - Briggs; Benjamin D. ;   et al.
2020-04-23
Dielectric Damage-Free Dual Damascene Cu Interconnects Without Barrier at Via Bottom
App 20200126854 - Motoyama; Koichi ;   et al.
2020-04-23
Non-intrusive unmanned entity inspection
Grant 10,629,009 - Chan , et al.
2020-04-21
Dual-damascene formation with dielectric spacer and thin liner
Grant 10,629,478 - Briggs , et al.
2020-04-21
Back end of line electrical fuse structure and method of fabrication
Grant 10,615,119 - Briggs , et al.
2020-04-07
Computer-mediated reality including physical damping feedback
Grant 10,606,231 - Briggs , et al.
2020-03-31
Magnetic Tunnel Junction With Low Series Resistance
App 20200098499 - Lanzillo; Nicholas A. ;   et al.
2020-03-26
Hybrid Dielectric Scheme For Varying Liner Thickness And Manganese Concentration
App 20200091079 - Briggs; Benjamin D. ;   et al.
2020-03-19
Fold over emitter and collector field emission transistor
Grant 10,593,506 - Briggs , et al.
2020-03-17
Proximity Correction In Three-dimensional Manufacturing
App 20200081761 - Briggs; Benjamin D. ;   et al.
2020-03-12
Hybrid BEOL metallization utilizing selective reflection mask
Grant 10,586,767 - Briggs , et al.
2020-03-10
Sentiment analysis of mental health disorder symptoms
Grant 10,580,435 - Ashoori , et al.
2020-03-03
Low-temperature diffusion doping of copper interconnects independent of seed layer composition
Grant 10,580,740 - Briggs , et al.
2020-03-03
Increasing Cost Benefit And Energy Efficiency With Modular Delivery Drones In Inclement Weather
App 20200065762 - Briggs; Benjamin D. ;   et al.
2020-02-27
Selective Cvd Alignment-mark Topography Assist For Non-volatile Memory
App 20200058594 - Rizzolo; Michael ;   et al.
2020-02-20
Method And Structure To Construct Cylindrical Interconnects To Reduce Resistance
App 20200058591 - Briggs; Benjamin D. ;   et al.
2020-02-20
Method And Structure To Construct Cylindrical Interconnects To Reduce Resistance
App 20200058590 - Briggs; Benjamin D. ;   et al.
2020-02-20
Selective Cvd Alignment-mark Topography Assist For Non-volatile Memory
App 20200051924 - Rizzolo; Michael ;   et al.
2020-02-13
Fully aligned semiconductor device with a skip-level via
Grant 10,553,789 - Lanzillo , et al. Fe
2020-02-04
Proximity correction in three-dimensional manufacturing
Grant 10,545,806 - Briggs , et al. Ja
2020-01-28
Hybrid Beol Metallization Utilizing Selective Reflection Mask
App 20200027840 - BRIGGS; Benjamin D. ;   et al.
2020-01-23
Interconnect structure including air gaps enclosed between conductive lines and a permeable dielectric layer
Grant 10,541,206 - Briggs , et al. Ja
2020-01-21
Structure And Method For Maximizing Air Gap In Back End Of The Line Interconnect Through Via Landing Modification
App 20200013718 - Briggs; Benjamin D. ;   et al.
2020-01-09
Method and structure to construct cylindrical interconnects to reduce resistance
Grant 10,529,662 - Briggs , et al. J
2020-01-07
Selective CVD alignment-mark topography assist for non-volatile memory
Grant 10,515,903 - Rizzolo , et al. Dec
2019-12-24
Enhanced self-alignment of vias for a semiconductor device
Grant 10,515,894 - Briggs , et al. Dec
2019-12-24
Extreme Ultraviolet (euv) Lithography Patterning Methods Utilizing Euv Resist Hardening
App 20190384180 - Briggs; Benjamin D. ;   et al.
2019-12-19
Selective Cvd Alignment-mark Topography Assist For Non-volatile Memory
App 20190355668 - Rizzolo; Michael ;   et al.
2019-11-21
Motion-controlled Portals In Virtual Reality
App 20190355175 - Sipolins; Aldis ;   et al.
2019-11-21
Non-intrusive Unmanned Entity Inspection
App 20190333292 - Chan; Yuk L. ;   et al.
2019-10-31
Non-intrusive Unmanned Entity Inspection
App 20190329909 - Chan; Yuk L. ;   et al.
2019-10-31
Paint On Micro Chip Touch Screens
App 20190325126 - Ashoori; Maryam ;   et al.
2019-10-24
Paint On Micro Chip Touch Screens
App 20190325127 - Ashoori; Maryam ;   et al.
2019-10-24
Self Aligned Via And Pillar Cut For At Least A Self Aligned Double Pitch
App 20190318960 - Briggs; Benjamin D. ;   et al.
2019-10-17
Self-forming barrier for cobalt interconnects
Grant 10,446,496 - Briggs , et al. Oc
2019-10-15
Self Aligned Via And Pillar Cut For At Least A Self Aligned Double Pitch
App 20190311946 - Briggs; Benjamin D. ;   et al.
2019-10-10
Self-orientation And Self-placement Of Computing Devices In A Fluid
App 20190313533 - Skordas; Spyridon ;   et al.
2019-10-10
Fold Over Emitter And Collector Field Emission Transistor
App 20190304733 - Briggs; Benjamin D. ;   et al.
2019-10-03
Self-forming barrier for cobalt interconnects
Grant 10,431,544 - Briggs , et al. O
2019-10-01
Orator effectiveness through real-time feedback system with automatic detection of human behavioral and emotional states of orator and audience
Grant 10,431,116 - Briggs , et al. O
2019-10-01
Fold over emitter and collector field emission transistor
Grant 10,424,456 - Briggs , et al. Sept
2019-09-24
Paint on micro chip touch screens
Grant 10,404,306 - Ashoori , et al. Sep
2019-09-03
Selective Ild Deposition For Fully Aligned Via With Airgap
App 20190267278 - Penny; Christopher J. ;   et al.
2019-08-29
Fully aligned via employing selective metal deposition
Grant 10,395,986 - Briggs , et al. A
2019-08-27
Self aligned via and pillar cut for at least a self aligned double pitch
Grant 10,395,977 - Briggs , et al. A
2019-08-27
Dedicated Contacts For Controlled Electroforming Of Memory Cells In Resistive Random-access Memory Array
App 20190259943 - Ando; Takashi ;   et al.
2019-08-22
Dedicated contacts for controlled electroforming of memory cells in resistive random-access memory array
Grant 10,381,561 - Ando , et al. A
2019-08-13
Method And Structure To Construct Cylindrical Interconnects To Reduce Resistance
App 20190237402 - Briggs; Benjamin D. ;   et al.
2019-08-01
Method of manufacturing self-aligned interconnects by deposition of a non-conformal air-gap forming layer having an undulated upper surface
Grant 10,361,157 - Briggs , et al.
2019-07-23
Selective ILD deposition for fully aligned via with airgap
Grant 10,361,117 - Penny , et al.
2019-07-23
Dedicated Contacts For Controlled Electroforming Of Memory Cells In Resistive Random-access Memory Array
App 20190214558 - Ando; Takashi ;   et al.
2019-07-11
Auto-incorrect In Chatbot Human-machine Interfaces
App 20190215282 - BRIGGS; Benjamin D. ;   et al.
2019-07-11
Selective deposition and nitridization of bottom electrode metal for MRAM applications
Grant 10,347,825 - Briggs , et al. July 9, 2
2019-07-09
Extreme Ultraviolet (euv) Lithography Patterning Methods Utilizing Euv Resist Hardening
App 20190198325 - Briggs; Benjamin D. ;   et al.
2019-06-27
Selective Ild Deposition For Fully Aligned Via With Airgap
App 20190181033 - Penny; Christopher J. ;   et al.
2019-06-13
Back End Of Line Electrical Fuse Structure And Method Of Fabrication
App 20190181091 - Briggs; Benjamin D. ;   et al.
2019-06-13
Integrated magnetic tunnel junction (MTJ) in back end of line (BEOL) interconnects
Grant 10,319,783 - Briggs , et al.
2019-06-11
Capacitance Reduction In Sea Of Lines Beol Metallization
App 20190172783 - Briggs; Benjamin D. ;   et al.
2019-06-06
Selective deposition and nitridization of bottom electrode metal for MRAM applications
Grant 10,312,434 - Briggs , et al.
2019-06-04
Secure Access For Drone Package Delivery
App 20190164441 - Briggs; Benjamin D. ;   et al.
2019-05-30
Self-forming Barrier For Use In Air Gap Formation
App 20190157146 - Briggs; Benjamin D. ;   et al.
2019-05-23
Computer-mediated Reality Including Physical Damping Feedback
App 20190155236 - Briggs; Benjamin D. ;   et al.
2019-05-23
Wraparound top electrode line for crossbar array resistive switching device
Grant 10,297,750 - Ando , et al.
2019-05-21
Low Aspect Ratio Interconnect
App 20190148296 - Briggs; Benjamin D. ;   et al.
2019-05-16
Low-Temperature Diffusion Doping of Copper Interconnects Independent of Seed Layer Composition
App 20190148303 - Briggs; Benjamin D. ;   et al.
2019-05-16
Wraparound Top Electrode Line For Crossbar Array Resistive Switching Device
App 20190148637 - Ando; Takashi ;   et al.
2019-05-16
Barrier layers in trenches and vias
Grant 10,290,541 - Briggs , et al.
2019-05-14
Cognitive system to improve athletic performance with motivation from different training styles
Grant 10,276,053 - Briggs , et al.
2019-04-30
Selective recessing to form a fully aligned via
Grant 10,276,436 - Briggs , et al.
2019-04-30
Sentiment analysis of mental health disorder symptoms
Grant 10,276,190 - Ashoori , et al.
2019-04-30
Drone Delivery Routing And Communication
App 20190122177 - BRIGGS; BENJAMIN D. ;   et al.
2019-04-25
Optimizating Semiconductor Binning By Feed-forward Process Adjustment
App 20190122911 - Briggs; Benjamin D. ;   et al.
2019-04-25
Method For Using 3d Positional Spatial Olfaction For Virtual Marketing
App 20190114671 - BRIGGS; Benjamin D. ;   et al.
2019-04-18
Hybrid dielectric scheme for varying liner thickness and manganese concentration
Grant 10,256,191 - Briggs , et al.
2019-04-09
Wet etch removal of Ru selective to other metals
Grant 10,242,909 - Briggs , et al.
2019-03-26
High-density MIM capacitors
Grant 10,229,967 - Briggs , et al.
2019-03-12
Self-forming barrier for use in air gap formation
Grant 10,229,851 - Briggs , et al.
2019-03-12
Dual-damascene Formation With Dielectric Spacer And Thin Liner
App 20190067087 - Briggs; Benjamin D. ;   et al.
2019-02-28
Low aspect ratio interconnect
Grant 10,211,153 - Briggs , et al. Feb
2019-02-19
Enhanced self-alignment of vias for asemiconductor device
Grant 10,211,151 - Briggs , et al. Feb
2019-02-19
Reducing metallic interconnect resistivity through application of mechanical strain
Grant 10,211,155 - Briggs , et al. Feb
2019-02-19
Metal silicate spacers for fully aligned vias
Grant 10,211,138 - Briggs , et al. Feb
2019-02-19
Enabling low resistance gates and contacts integrated with bilayer dielectrics
Grant 10,204,828 - Bao , et al. Feb
2019-02-12
Smartwatch blackbox
Grant 10,195,901 - Briggs , et al. Fe
2019-02-05
Low-temperature diffusion doping of copper interconnects independent of seed layer composition
Grant 10,192,829 - Briggs , et al. Ja
2019-01-29
Hybrid Dielectric Scheme For Varying Liner Thickness And Manganese Concentration
App 20190013278 - Briggs; Benjamin D. ;   et al.
2019-01-10
Airgap protection layer for via alignment
Grant 10,170,411 - Briggs , et al. J
2019-01-01
Selective blocking boundary placement for circuit locations requiring electromigration short-length
Grant 10,170,416 - Briggs , et al. J
2019-01-01
Sentiment Analysis Of Mental Health Disorder Symptoms
App 20180366144 - Ashoori; Maryam ;   et al.
2018-12-20
Dynamic Rigidity Mechanism
App 20180361225 - Briggs; Benjamin D. ;   et al.
2018-12-20
Predictive Notification Of Personality Shifts For Mental Illness Management
App 20180366142 - ASHOORI; Maryam ;   et al.
2018-12-20
Sentiment Analysis Of Mental Health Disorder Symptoms
App 20180366143 - Ashoori; Maryam ;   et al.
2018-12-20
Predictive Notification Of Personality Shifts For Mental Illness Management
App 20180366141 - ASHOORI; Maryam ;   et al.
2018-12-20
Neutral atom beam nitridation for copper interconnect
Grant 10,153,202 - Briggs , et al. Dec
2018-12-11
Paint On Micro Chip Touch Screens
App 20180351596 - Ashoori; Maryam ;   et al.
2018-12-06
Proximity Correction In Three-dimensional Manufacturing
App 20180349220 - Briggs; Benjamin D. ;   et al.
2018-12-06
Accelerated Wafer Testing Using Non-destructive And Localized Stress
App 20180328979 - Briggs; Benjamin D. ;   et al.
2018-11-15
Accelerated Wafer Testing Using Non-destructive And Localized Stress
App 20180328977 - Briggs; Benjamin D. ;   et al.
2018-11-15
WET ETCH REMOVAL OF Ru SELECTIVE TO OTHER METALS
App 20180323151 - Briggs; Benjamin D. ;   et al.
2018-11-08
Method And System For Targeted Advertising Based On Natural Language Analytics
App 20180315094 - Ashoori; Maryam ;   et al.
2018-11-01
Selective Recessing To Form A Fully Aligned Via
App 20180315654 - Briggs; Benjamin D. ;   et al.
2018-11-01
Selective Recessing To Form A Fully Aligned Via
App 20180315653 - Briggs; Benjamin D. ;   et al.
2018-11-01
Method And System For Targeted Advertising Based On Natural Language Analytics
App 20180315093 - Ashoori; Maryam ;   et al.
2018-11-01
Dynamic rigidity mechanism
Grant 10,099,108 - Briggs , et al. October 16, 2
2018-10-16
Semiconductor device formed by wet etch removal of Ru selective to other metals
Grant 10,090,247 - Briggs , et al. October 2, 2
2018-10-02
Reducing Metallic Interconnect Resistivity Through Application Of Mechanical Strain
App 20180277482 - Briggs; Benjamin D. ;   et al.
2018-09-27
Skip-vias bypassing a metallization level at minimum pitch
Grant 10,083,905 - Briggs , et al. September 25, 2
2018-09-25
Prevention of Switching of Spins in Magnetic Tunnel Junctions by On-Chip Parasitic Magnetic Shield
App 20180269383 - Briggs; Benjamin D. ;   et al.
2018-09-20
Metal Silicate Spacers For Fully Aligned Vias
App 20180269144 - Briggs; Benjamin D. ;   et al.
2018-09-20
Enhanced Self-alignment Of Vias For A Semiconductor Device
App 20180254242 - BRIGGS; Benjamin D. ;   et al.
2018-09-06
Emotional Analysis And Depiction In Virtual Reality
App 20180247443 - Briggs; Benjamin D. ;   et al.
2018-08-30
Selective Deposition And Nitridization Of Bottom Electrode Metal For Mram Applications
App 20180240971 - Briggs; Benjamin D. ;   et al.
2018-08-23
Selective Deposition And Nitridization Of Bottom Electrode Metal For Mram Applications
App 20180240968 - Briggs; Benjamin D. ;   et al.
2018-08-23
Metal silicate spacers for fully aligned vias
Grant 10,049,974 - Briggs , et al. August 14, 2
2018-08-14
Reduced tip-to-tip and via pitch at line end
Grant 10,049,920 - Anderson , et al. August 14, 2
2018-08-14
Smartwatch blackbox
Grant 10,046,601 - Briggs , et al. August 14, 2
2018-08-14
Smartwatch blackbox
Grant 10,046,698 - Briggs , et al. August 14, 2
2018-08-14
Social media modification of behavior and mobile screening for impairment
Grant 10,045,096 - Briggs , et al. August 7, 2
2018-08-07
Remote Physical Training
App 20180207484 - Briggs; Benjamin D. ;   et al.
2018-07-26
Hybrid Dielectric Scheme For Varying Liner Thickness And Manganese Concentration
App 20180211920 - Briggs; Benjamin D. ;   et al.
2018-07-26
Integrated Magnetic Tunnel Junction (mtj) In Back End Of Line (beol) Interconnects
App 20180197915 - Briggs; Benjamin D. ;   et al.
2018-07-12
Reduced tip-to-tip and via pitch at line end
Grant 10,020,223 - Anderson , et al. July 10, 2
2018-07-10
Barrier Layers In Trenches And Vias
App 20180174899 - Briggs; Benjamin D. ;   et al.
2018-06-21
Selective and non-selective barrier layer wet removal
Grant 10,002,831 - Briggs , et al. June 19, 2
2018-06-19
Airgap Protection Layer For Via Alignment
App 20180151491 - Briggs; Benjamin D. ;   et al.
2018-05-31
Uniform dielectric recess depth during fin reveal
Grant 9,984,916 - Briggs , et al. May 29, 2
2018-05-29
Uniform dielectric recess depth during fin reveal
Grant 9,984,935 - Briggs , et al. May 29, 2
2018-05-29
Barrier layers in trenches and vias
Grant 9,984,923 - Briggs , et al. May 29, 2
2018-05-29
Prevention of switching of spins in magnetic tunnel junctions by on-chip parasitic magnetic shield
Grant 9,985,199 - Briggs , et al. May 29, 2
2018-05-29
Fully aligned via with integrated air gaps
Grant 9,966,337 - Briggs , et al. May 8, 2
2018-05-08
Barrier Planarization For Interconnect Metallization
App 20180114718 - Briggs; Benjamin D. ;   et al.
2018-04-26
Barrier Planarization For Interconnect Metallization
App 20180114719 - Briggs; Benjamin D. ;   et al.
2018-04-26
Selective Blocking Boundary Placement For Circuit Locations Requiring Electromigration Short-length
App 20180114750 - Briggs; Benjamin D. ;   et al.
2018-04-26
Skip-vias Bypassing A Metallization Level At Minimum Pitch
App 20180114752 - Briggs; Benjamin D. ;   et al.
2018-04-26
Fold Over Emitter And Collector Field Emission Transistor
App 20180108508 - Briggs; Benjamin D. ;   et al.
2018-04-19
Fold over emitter and collector field emission transistor
Grant 9,941,088 - Briggs , et al. April 10, 2
2018-04-10
Uniform dielectric recess depth during fin reveal
Grant 9,941,134 - Briggs , et al. April 10, 2
2018-04-10
Reducing metallic interconnect resistivity through application of mechanical strain
Grant 9,941,211 - Briggs , et al. April 10, 2
2018-04-10
Heterogeneous Metallization Using Solid Diffusion Removal Of Metal Interconnects
App 20180090372 - Briggs; Benjamin D. ;   et al.
2018-03-29
Airgap protection layer for via alignment
Grant 9,929,088 - Briggs , et al. March 27, 2
2018-03-27
Self-aligned Airgaps With Conductive Lines And Vias
App 20180082885 - Briggs; Benjamin D. ;   et al.
2018-03-22
Virtual And Augmented Reality Using High-throughput Wireless Visual Data Transmission
App 20180081425 - Briggs; Benjamin D. ;   et al.
2018-03-22
Single or mutli block mask management for spacer height and defect reduction for BEOL
Grant 9,916,986 - Briggs , et al. March 13, 2
2018-03-13
Integrated magnetic tunnel junction (MTJ) in back end of line (BEOL) interconnects
Grant 9,917,137 - Briggs , et al. March 13, 2
2018-03-13
Skip-vias bypassing a metallization level at minimum pitch
Grant 9,911,651 - Briggs , et al. March 6, 2
2018-03-06
Smartwatch Blackbox
App 20180056862 - Briggs; Benjamin D. ;   et al.
2018-03-01
Neutral Atom Beam Nitridation For Copper Interconnect
App 20180061705 - Briggs; Benjamin D. ;   et al.
2018-03-01
Metal Silicate Spacers For Fully Aligned Vias
App 20180061750 - Briggs; Benjamin D. ;   et al.
2018-03-01
Neutral Atom Beam Nitridation For Copper Interconnect
App 20180061704 - Briggs; Benjamin D. ;   et al.
2018-03-01
Self-forming Barrier For Use In Air Gap Formation
App 20180061708 - Briggs; Benjamin D. ;   et al.
2018-03-01
Low Aspect Ratio Interconnect
App 20180061761 - Briggs; Benjamin D. ;   et al.
2018-03-01
Neutral atom beam nitridation for copper interconnect
Grant 9,905,459 - Briggs , et al. February 27, 2
2018-02-27
Selective blocking boundary placement for circuit locations requiring electromigration short-length
Grant 9,905,513 - Briggs , et al. February 27, 2
2018-02-27
Self-aligned airgaps with conductive lines and vias
Grant 9,899,256 - Briggs , et al. February 20, 2
2018-02-20
Structure and fabrication method for enhanced mechanical strength crack stop
Grant 9,899,338 - Briggs , et al. February 20, 2
2018-02-20
Structure And Fabrication Method For Enhanced Mechanical Strength Crack Stop
App 20180047676 - Briggs; Benjamin D. ;   et al.
2018-02-15
Selective Recessing To Form A Fully Aligned Via
App 20180040510 - Briggs; Benjamin D. ;   et al.
2018-02-08
Barrier planarization for interconnect metallization
Grant 9,881,833 - Briggs , et al. January 30, 2
2018-01-30
Security key system
Grant 9,881,431 - Briggs , et al. January 30, 2
2018-01-30
Structure And Method For Improved Stabilization Of Cobalt Cap And/or Cobalt Liner In Interconnects
App 20180005953 - Briggs; Benjamin D. ;   et al.
2018-01-04
Self-aligned Airgaps With Conductive Lines And Vias
App 20180005868 - Briggs; Benjamin D. ;   et al.
2018-01-04
Barrier Layers In Trenches And Vias
App 20180005880 - Briggs; Benjamin D. ;   et al.
2018-01-04
Health monitoring using parallel cognitive processing
Grant 9,858,388 - Ashoori , et al. January 2, 2
2018-01-02
Smartwatch Blackbox
App 20170368991 - Briggs; Benjamin D. ;   et al.
2017-12-28
Smartwatch Blackbox
App 20170368992 - Briggs; Benjamin D. ;   et al.
2017-12-28
Single Or Mutli Block Mask Management For Spacer Height And Defect Reduction For Beol
App 20170372909 - BRIGGS; BENJAMIN D. ;   et al.
2017-12-28
Dynamic Rigidity Mechanism
App 20170361201 - Briggs; Benjamin D. ;   et al.
2017-12-21
Low-Temperature Diffusion Doping of Copper Interconnects Independent of Seed Layer Composition
App 20170358533 - Briggs; Benjamin D. ;   et al.
2017-12-14
High-density MIM capacitors
Grant 9,837,485 - Briggs , et al. December 5, 2
2017-12-05
Semiconductor interconnect structure with double conductors
Grant 9,837,350 - Briggs , et al. December 5, 2
2017-12-05
Forming deep airgaps without flop over
Grant 9,837,305 - Briggs , et al. December 5, 2
2017-12-05
Method for maximizing air gap in back end of the line interconnect through via landing modification
Grant 9,837,355 - Briggs , et al. December 5, 2
2017-12-05
Structure and fabrication method for enhanced mechanical strength crack stop
Grant 9,824,982 - Briggs , et al. November 21, 2
2017-11-21
Structure And Method For Maximizing Air Gap In Back End Of The Line Interconnect Through Via Landing Modification
App 20170317025 - Briggs; Benjamin D. ;   et al.
2017-11-02
Selective And Non-selective Barrier Layer Wet Removal
App 20170317026 - Briggs; Benjamin D. ;   et al.
2017-11-02
Selective and non-selective barrier layer wet removal
Grant 9,806,023 - Briggs , et al. October 31, 2
2017-10-31
Selective And Non-selective Barrier Layer Wet Removal
App 20170301624 - Briggs; Benjamin D. ;   et al.
2017-10-19
High-density Mim Capacitors
App 20170301749 - Briggs; Benjamin D. ;   et al.
2017-10-19
Airgap Protection Layer For Via Alignment
App 20170301621 - Briggs; Benjamin D. ;   et al.
2017-10-19
Heterogeneous metallization using solid diffusion removal of metal interconnects
Grant 9,793,206 - Briggs , et al. October 17, 2
2017-10-17
Semiconductor Interconnect Structure With Double Conductors
App 20170294381 - BRIGGS; BENJAMIN D. ;   et al.
2017-10-12
Semiconductor Interconnect Structure With Double Conductors
App 20170294382 - BRIGGS; BENJAMIN D. ;   et al.
2017-10-12
High-density Mim Capacitors
App 20170288011 - Briggs; Benjamin D. ;   et al.
2017-10-05
Proximity Feedback For Medicine Identification
App 20170286633 - Ashoori; Maryam ;   et al.
2017-10-05
Medication Scheduling And Alerts
App 20170286632 - Ashoori; Maryam ;   et al.
2017-10-05
Matching a spent firearm cartridge
Grant 9,778,007 - Briggs , et al. October 3, 2
2017-10-03
Method for Maximizing Air Gap in Back End of the Line Interconnect through Via Landing Modification
App 20170278796 - Briggs; Benjamin D. ;   et al.
2017-09-28
Structure And Method For Maximizing Air Gap In Back End Of The Line Interconnect Through Via Landing Modification
App 20170278788 - Briggs; Benjamin D. ;   et al.
2017-09-28
Structure And Method For Maximizing Air Gap In Back End Of The Line Interconnect Through Via Landing Modification
App 20170278740 - Briggs; Benjamin D. ;   et al.
2017-09-28
Smartwatch blackbox
Grant 9,758,095 - Briggs , et al. September 12, 2
2017-09-12
Security key system
Grant 9,760,817 - Briggs , et al. September 12, 2
2017-09-12
Hybrid Metal Interconnects With A Bamboo Grain Microstructure
App 20170256495 - Briggs; Benjamin D. ;   et al.
2017-09-07
Hybrid Metal Interconnects With A Bamboo Grain Microstructure
App 20170256494 - Briggs; Benjamin D. ;   et al.
2017-09-07
Low-temperature diffusion doping of copper interconnects independent of seed layer composition
Grant 9,754,891 - Briggs , et al. September 5, 2
2017-09-05
Hybrid metal interconnects with a bamboo grain microstructure
Grant 9,754,885 - Briggs , et al. September 5, 2
2017-09-05
Hybrid metal interconnects with a bamboo grain microstructure
Grant 9,754,883 - Briggs , et al. September 5, 2
2017-09-05
Uniform Dielectric Recess Depth During Fin Reveal
App 20170236756 - Briggs; Benjamin D. ;   et al.
2017-08-17
Uniform Dielectric Recess Depth During Fin Reveal
App 20170236717 - Briggs; Benjamin D. ;   et al.
2017-08-17
Self-forming Barrier For Cobalt Interconnects
App 20170236781 - Briggs; Benjamin D. ;   et al.
2017-08-17
Self-forming Barrier For Cobalt Interconnects
App 20170236749 - Briggs; Benjamin D. ;   et al.
2017-08-17
Security Key System
App 20170221285 - Briggs; Benjamin D. ;   et al.
2017-08-03
Security Key System
App 20170220915 - Briggs; Benjamin D. ;   et al.
2017-08-03
Smartwatch Blackbox
App 20170210288 - Briggs; Benjamin D. ;   et al.
2017-07-27
Cognitive System to Improve Athletic Performance with Motivation from Different Training Styles
App 20170213470 - Briggs; Benjamin D. ;   et al.
2017-07-27
Forming chamferless vias using thermally decomposable porefiller
Grant 9,685,366 - Briggs , et al. June 20, 2
2017-06-20
Selective and non-selective barrier layer wet removal
Grant 9,685,406 - Briggs , et al. June 20, 2
2017-06-20
Orator Effectiveness Through Real-Time Feedback System With Automatic Detection of Human Behavioral and Emotional States of Orator and Audience
App 20170169727 - Briggs; Benjamin D. ;   et al.
2017-06-15
Uniform dielectric recess depth during fin reveal
Grant 9,666,474 - Briggs , et al. May 30, 2
2017-05-30
Real-Time Harm Prevention Through Feedback System With Automatic Detection of Human Behavioral and Emotional States
App 20170140629 - Briggs; Benjamin D. ;   et al.
2017-05-18
Social Media Modification of Behavior and Mobile Screening for Impairment
App 20170134832 - Briggs; Benjamin D. ;   et al.
2017-05-11
Uniform Dielectric Recess Depth During Fin Reveal
App 20170125302 - Briggs; Benjamin D. ;   et al.
2017-05-04
Uniform Dielectric Recess Depth During Fin Reveal
App 20170125286 - Briggs; Benjamin D. ;   et al.
2017-05-04
Self Aligned Via And Pillar Cut For At Least A Self Aligned Double Pitch
App 20170117177 - Briggs; Benjamin D. ;   et al.
2017-04-27
Low-Temperature Diffusion Doping of Copper Interconnects Independent of Seed Layer Composition
App 20170084540 - Briggs; Benjamin D. ;   et al.
2017-03-23
Structure and method for BEOL nanoscale damascene sidewall-defined non-volatile memory element
Grant 9,583,498 - Briggs , et al. February 28, 2
2017-02-28
Structure and method for BEOL nanoscale damascene sidewall-defined non-volatile memory element
Grant 9,559,107 - Briggs , et al. January 31, 2
2017-01-31
Airgap protection layer for via alignment
Grant 9,553,019 - Briggs , et al. January 24, 2
2017-01-24
Self aligned via and pillar cut for at least a self aligned double pitch
Grant 9,548,243 - Briggs , et al. January 17, 2
2017-01-17
Self Aligned Via And Pillar Cut For At Least A Self Aligned Double Pitch
App 20170004996 - Briggs; Benjamin D. ;   et al.
2017-01-05
Structure And Method For Beol Nanoscale Damascene Sidewall-defined Non-volatile Memory Element
App 20160343723 - Briggs; Benjamin D. ;   et al.
2016-11-24
Structure And Method For Beol Nanoscale Damascene Sidewall-defined Non-volatile Memory Element
App 20160343721 - Briggs; Benjamin D. ;   et al.
2016-11-24
Fold Over Emitter And Collector Field Emission Transistor
App 20160307723 - Briggs; Benjamin D. ;   et al.
2016-10-20
Fold over emitter and collector field emission transistor
Grant 9,431,205 - Briggs , et al. August 30, 2
2016-08-30
Structure and fabrication method for electromigration immortal nanoscale interconnects
Grant 9,418,934 - Briggs , et al. August 16, 2
2016-08-16
Security key system
Grant 9,418,327 - Briggs , et al. August 16, 2
2016-08-16

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed