loadpatents
name:-0.084872007369995
name:-0.060462951660156
name:-0.019208192825317
Balseanu; Mihaela Patent Filings

Balseanu; Mihaela

Patent Applications and Registrations

Patent applications and USPTO patent grants for Balseanu; Mihaela.The latest application filed is for "low-k films".

Company Profile
18.62.81
  • Balseanu; Mihaela - Sunnyvale CA
  • Balseanu; Mihaela - Cupertino CA
  • Balseanu; Mihaela - Ithaca NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Low-k films
Grant 11,371,144 - Zhang , et al. June 28, 2
2022-06-28
Selective deposition of SiCON by plasma ALD
Grant 11,359,281 - Zhang , et al. June 14, 2
2022-06-14
Method of forming self-aligned via
Grant 11,270,914 - Parikh , et al. March 8, 2
2022-03-08
Low-k Films
App 20210388499 - Zhang; Shuaidi ;   et al.
2021-12-16
Self-aligned double patterning with spatial atomic layer deposition
Grant 11,164,753 - Li , et al. November 2, 2
2021-11-02
Methods and systems to modulate film stress
Grant 11,158,489 - Tanaka , et al. October 26, 2
2021-10-26
Seamless gapfill with dielectric ALD films
Grant 11,133,178 - Balseanu , et al. September 28, 2
2021-09-28
Methods And Apparatus For Low Temperature Silicon Nitride Films
App 20210265157 - Yan; Wenbo ;   et al.
2021-08-26
Selective Deposition Of Sicon By Plama Ald
App 20210230747 - Zhang; Shuaidi ;   et al.
2021-07-29
Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
Grant 11,028,478 - Nguyen , et al. June 8, 2
2021-06-08
Methods and apparatus for low temperature silicon nitride films
Grant 11,017,997 - Yan , et al. May 25, 2
2021-05-25
Seamless Gapfill With Dielectric ALD Films
App 20210090882 - Balseanu; Mihaela ;   et al.
2021-03-25
Method and apparatus for deposition of low-k films
Grant 10,957,532 - Li , et al. March 23, 2
2021-03-23
Method of forming self-aligned via
Grant 10,923,396 - Parikh , et al. February 16, 2
2021-02-16
Methods And Apparatus For Deposition Of Low-K Films
App 20200332415 - Bhuyan; Bhaskar Jyoti ;   et al.
2020-10-22
Methods And Precursors For Selective Deposition Of Metal Films
App 20200312653 - Fredrickson; Kurt ;   et al.
2020-10-01
Cap Layer For Bit Line Resistance Reduction
App 20200235104 - Panda; Priyadarshi ;   et al.
2020-07-23
Method to fabricate thermally stable low K-FinFET spacer
Grant 10,714,331 - Balseanu , et al.
2020-07-14
Method Of Forming Self-Aligned Via
App 20200219768 - Parikh; Suketu Arun ;   et al.
2020-07-09
Cap layer for bit line resistance reduction
Grant 10,700,072 - Panda , et al.
2020-06-30
Method Of Forming Self-Aligned Via
App 20200144117 - Parikh; Suketu Arun ;   et al.
2020-05-07
Method of forming self-aligned via
Grant 10,629,484 - Parikh , et al.
2020-04-21
Method And Apparatus For Deposition Of Low-K Films
App 20200006064 - Li; Ning ;   et al.
2020-01-02
Methods And Apparatus For Low Temperature Silicon Nitride Films
App 20190348271 - Yan; Wenbo ;   et al.
2019-11-14
Method and apparatus for deposition of low-k films
Grant 10,453,678 - Li , et al. Oc
2019-10-22
Methods For Low Temperature ALD Of Metal Oxides
App 20190309412 - Bhuyan; Bhaskar Jyoti ;   et al.
2019-10-10
Method To Fabricate Thermally Stable Low K-finfet Spacer
App 20190311896 - BALSEANU; Mihaela ;   et al.
2019-10-10
Selective deposition of silicon nitride films for spacer applications
Grant 10,319,583 - Li , et al.
2019-06-11
High temperature silicon oxide atomic layer deposition technology
Grant 10,170,298 - Yan , et al. J
2019-01-01
Methods for depositing low K and low wet etch rate dielectric thin films
Grant 10,147,599 - Li , et al. De
2018-12-04
Methods and apparatus for selective dry etch
Grant 10,134,581 - Li , et al. November 20, 2
2018-11-20
Method And Apparatus For Deposition Of Low-K Films
App 20180301333 - Li; Ning ;   et al.
2018-10-18
Atomic Layer Deposition Of Films Comprising Silicon, Carbon And Nitrogen Using Halogenated Silicon Precursors
App 20180291505 - Nguyen; Victor ;   et al.
2018-10-11
Method And Apparatus For Selective Deposition Of Dielectric Films
App 20180211833 - Li; Ning ;   et al.
2018-07-26
Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
Grant 10,023,958 - Nguyen , et al. July 17, 2
2018-07-17
PEALD of films comprising silicon nitride
Grant 9,984,868 - Nguyen , et al. May 29, 2
2018-05-29
Methods And Systems To Modulate Film Stress
App 20180130642 - Tanaka; Tsutomu ;   et al.
2018-05-10
High Temperature Silicon Oxide Atomic Layer Deposition Technology
App 20180076023 - Yan; Wenbo ;   et al.
2018-03-15
Methods For Depositing Low K And Low Wet Etch Rate Dielectric Thin Films
App 20180040470 - Li; Ning ;   et al.
2018-02-08
High temperature silicon oxide atomic layer deposition technology
Grant 9,875,888 - Yan , et al. January 23, 2
2018-01-23
Methods for depositing low k and low wet etch rate dielectric thin films
Grant 9,799,511 - Li , et al. October 24, 2
2017-10-24
Methods And Apparatus For Selective Dry Etch
App 20170263438 - Li; Ning ;   et al.
2017-09-14
Selective Deposition Of Silicon Nitride Films For Spacer Applications
App 20170263437 - Li; Ning ;   et al.
2017-09-14
Pulsed nitride encapsulation
Grant 9,748,093 - Reilly , et al. August 29, 2
2017-08-29
Cu/barrier interface enhancement
Grant 9,633,861 - Ye , et al. April 25, 2
2017-04-25
High Temperature Thermal ALD Silicon Nitride Films
App 20170053792 - Lu; Xinliang ;   et al.
2017-02-23
Method of forming magnetic tunneling junctions
Grant 9,564,582 - Pakala , et al. February 7, 2
2017-02-07
Methods For Depositing Low K And Low Wet Etch Rate Dielectric Thin Films
App 20160322214 - Li; Ning ;   et al.
2016-11-03
Pulsed Nitride Encapsulation
App 20160284567 - Reilly; Patrick James ;   et al.
2016-09-29
High Temperature Silicon Oxide Atomic Layer Deposition Technology
App 20160099143 - Yan; Wenbo ;   et al.
2016-04-07
Accurate film thickness control in gap-fill technology
Grant 9,297,073 - Li , et al. March 29, 2
2016-03-29
Enhancing Uv Compatibility Of Low K Barrier Film
App 20160013049 - YE; Weifeng ;   et al.
2016-01-14
Accurate Film Thickness Control In Gap-fill Technology
App 20150299856 - LI; Ning ;   et al.
2015-10-22
In-situ Carbon And Oxide Doping Of Atomic Layer Deposition Silicon Nitride Films
App 20150252477 - NGUYEN; Victor ;   et al.
2015-09-10
Method Of Forming Magnetic Tunneling Junctions
App 20150255507 - PAKALA; Mahendra ;   et al.
2015-09-10
Seamless Gap-fill With Spatial Atomic Layer Deposition
App 20150255324 - LI; Ning ;   et al.
2015-09-10
Self-Aligned Double Patterning With Spatial Atomic Layer Deposition
App 20150200110 - Li; Ning ;   et al.
2015-07-16
Atomic Layer Deposition Of Films Comprising Silicon, Carbon And Nitrogen Using Halogenated Silicon Precursors
App 20150147484 - Nguyen; Victor ;   et al.
2015-05-28
Cu/barrier Interface Enhancement
App 20140273438 - YE; Weifeng ;   et al.
2014-09-18
Plasma Doping Of Silicon-Containing Films
App 20140273524 - Nguyen; Victor ;   et al.
2014-09-18
Vbd And Tddb Improvement Thru Interface Engineering
App 20140273516 - XIA; Li-Qun ;   et al.
2014-09-18
Post-Deposition Treatment Methods For Silicon Nitride
App 20140273530 - Nguyen; Victor ;   et al.
2014-09-18
PEALD of Films Comprising Silicon Nitride
App 20140273529 - Nguyen; Victor ;   et al.
2014-09-18
Copper oxide removal techniques
Grant 8,758,638 - Ye , et al. June 24, 2
2014-06-24
Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
Grant 8,753,989 - Balseanu , et al. June 17, 2
2014-06-17
Method And Apparatus For Low Temperature ALD Deposition
App 20140023794 - Mahajani; Maitreyee ;   et al.
2014-01-23
MODULATED COMPOSITIONAL AND STRESS CONTROLLED MULTILAYER ULTRATHIN CONFORMAL SiNx DIELECTRICS USED IN NANO DEVICE FABRICATION
App 20130333923 - Balseanu; Mihaela ;   et al.
2013-12-19
Plasma-enhanced chemical vapor deposition of crystalline germanium
Grant 8,598,020 - Nguyen , et al. December 3, 2
2013-12-03
Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
Grant 8,586,487 - Nguyen , et al. November 19, 2
2013-11-19
Boron film interface engineering
Grant 8,563,090 - Balseanu , et al. October 22, 2
2013-10-22
Multilayered low k cap with conformal gap fill and UV stable compressive stress properties
Grant 8,536,069 - Balseanu , et al. September 17, 2
2013-09-17
Method of forming flash memory with ultraviolet treatment
Grant 8,501,568 - Balseanu , et al. August 6, 2
2013-08-06
Engineering Dielectric Films For Cmp Stop
App 20130189841 - Balseanu; Mihaela ;   et al.
2013-07-25
Multilayered low k cap with conformal gap fill and UV stable compressive stress properties
Grant 8,492,880 - Balseanu , et al. July 23, 2
2013-07-23
Low Temperature Plasma Enhanced Chemical Vapor Deposition Of Conformal Silicon Carbon Nitride And Silicon Nitride Films
App 20130183835 - Nguyen; Victor ;   et al.
2013-07-18
MULTILAYERED LOW k CAP WITH CONFORMAL GAP FILL AND UV STABLE COMPRESSIVE STRESS PROPERTIES
App 20130005146 - Balseanu; Mihaela ;   et al.
2013-01-03
Method for depositing boron-rich films for lithographic mask applications
Grant 8,337,950 - Nguyen , et al. December 25, 2
2012-12-25
Copper Oxide Removal Techniques
App 20120289049 - YE; WEIFENG ;   et al.
2012-11-15
MULTILAYERED LOW k CAP WITH CONFORMAL GAP FILL AND UV STABLE COMPRESSIVE STRESS PROPERTIES
App 20120248617 - Balseanu; Mihaela ;   et al.
2012-10-04
Method of forming a non-volatile memory having a silicon nitride charge trap layer
Grant 8,252,653 - Balseanu , et al. August 28, 2
2012-08-28
Method To Increase Silicon Nitride Tensile Stress Using Nitrogen Plasma In-situ Treatment And Ex-situ Uv Cure
App 20120196450 - Balseanu; Mihaela ;   et al.
2012-08-02
Method To Increase Tensile Stress Of Silicon Nitride Films Using A Post Pecvd Deposition Uv Cure
App 20120196452 - Balseanu; Mihaela ;   et al.
2012-08-02
Boron nitride and boron-nitride derived materials deposition method
Grant 8,148,269 - Balseanu , et al. April 3, 2
2012-04-03
Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
Grant 8,138,104 - Balseanu , et al. March 20, 2
2012-03-20
Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
Grant 8,129,290 - Balseanu , et al. March 6, 2
2012-03-06
Plasma-enhanced Chemical Vapor Deposition Of Crystalline Germanium
App 20110315992 - Nguyen; Victor T. ;   et al.
2011-12-29
Method of depositing boron nitride and boron nitride-derived materials
Grant 8,084,105 - Huh , et al. December 27, 2
2011-12-27
Methods And Apparatus Of Creating Airgap In Dielectric Layers For The Reduction Of Rc Delay
App 20110104891 - AL-BAYATI; AMIR ;   et al.
2011-05-05
Method to improve the step coverage and pattern loading for dielectric films
Grant 7,923,386 - Balseanu , et al. April 12, 2
2011-04-12
Gapfill improvement with low etch rate dielectric liners
Grant 7,910,491 - Soo Kwon , et al. March 22, 2
2011-03-22
Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
Grant 7,879,683 - Al-Bayati , et al. February 1, 2
2011-02-01
Methods and systems for forming at least one dielectric layer
Grant 7,871,926 - Xia , et al. January 18, 2
2011-01-18
Method of forming non-volatile memory having charge trap layer with compositional gradient
Grant 7,816,205 - Balseanu , et al. October 19, 2
2010-10-19
Engineering Boron-rich Films For Lithographic Mask Applications
App 20100233633 - Nguyen; Victor ;   et al.
2010-09-16
Method to increase the compressive stress of PECVD dielectric films
Grant 7,790,635 - Balseanu , et al. September 7, 2
2010-09-07
Method to improve the step coverage and pattern loading for dielectric films
Grant 7,780,865 - Balseanu , et al. August 24, 2
2010-08-24
Method to increase the compressive stress of PECVD silicon nitride films
Grant 7,732,342 - Balseanu , et al. June 8, 2
2010-06-08
Boron derived materials deposition method
Grant 7,704,816 - Huh , et al. April 27, 2
2010-04-27
Flash Memory With Treated Charge Trap Layer
App 20100099247 - Balseanu; Mihaela ;   et al.
2010-04-22
Gapfill Improvement With Low Etch Rate Dielectric Liners
App 20100099236 - Kwon; Young Soo ;   et al.
2010-04-22
Non-volatile Memory Having Charge Trap Layer With Compositional Gradient
App 20100096688 - Balseanu; Mihaela ;   et al.
2010-04-22
Non-volatile Memory Having Silicon Nitride Charge Trap Layer
App 20100096687 - BALSEANU; Mihaela ;   et al.
2010-04-22
Boron Film Interface Engineering
App 20100098884 - BALSEANU; MIHAELA ;   et al.
2010-04-22
Method To Improve The Step Coverage And Pattern Loading For Dielectric Films
App 20100048030 - BALSEANU; MIHAELA ;   et al.
2010-02-25
Method For Critical Dimension Shrink Using Conformal Pecvd Films
App 20090286402 - Xia; Li-Qun ;   et al.
2009-11-19
Method for monolithically integrating silicon carbide microelectromechanical devices with electronic circuitry
Grant 7,615,788 - Kornegay , et al. November 10, 2
2009-11-10
Boron Nitride And Boron-nitride Derived Materials Deposition Method
App 20090263972 - Balseanu; Mihaela ;   et al.
2009-10-22
Method to improve the step coverage and pattern loading for dielectric films
Grant 7,601,651 - Balseanu , et al. October 13, 2
2009-10-13
Integration process for fabricating stressed transistor structure
Grant 7,566,655 - Balseanu , et al. July 28, 2
2009-07-28
Methods and Systems for Forming at Least One Dielectric Layer
App 20090104764 - Xia; Li-Qun ;   et al.
2009-04-23
Method For Forming An Air Gap In Multilevel Interconnect Structure
App 20090093100 - Xia; Li-Qun ;   et al.
2009-04-09
Methods And Apparatus Of Creating Airgap In Dielectric Layers For The Reduction Of Rc Delay
App 20090093112 - AL-BAYATI; AMIR ;   et al.
2009-04-09
Boron Derived Materials Deposition Method
App 20090017640 - Huh; Jeong-Uk ;   et al.
2009-01-15
Boron Nitride And Boron Nitride-derived Materials Deposition Method
App 20080292798 - Huh; Jeong-Uk ;   et al.
2008-11-27
Method To Increase The Compressive Stress Of Pecvd Dielectric Films
App 20080146007 - Balseanu; Mihaela ;   et al.
2008-06-19
Method for monolithically integrating silicon carbide microelectromechanical devices with electronic circuitry
App 20080093605 - Kornegay; Kevin ;   et al.
2008-04-24
Method To Increase Silicon Nitride Tensile Stress Using Nitrogen Plasma In-situ Treatment And Ex-situ Uv Cure
App 20080020591 - Balseanu; Mihaela ;   et al.
2008-01-24
Method To Improve The Step Coverage And Pattern Loading For Dielectric Films
App 20070232082 - Balseanu; Mihaela ;   et al.
2007-10-04
Method To Improve The Step Coverage And Pattern Loading For Dielectric Films
App 20070232071 - Balseanu; Mihaela ;   et al.
2007-10-04
Low-k spacer integration into CMOS transistors
App 20070202640 - Al-Bayati; Amir ;   et al.
2007-08-30
Method for monolithically integrating silicon carbide microelectromechanical devices with electronic circuitry
Grant 7,170,141 - Kornegay , et al. January 30, 2
2007-01-30
Integration process for fabricating stressed transistor structure
App 20060270217 - Balseanu; Mihaela ;   et al.
2006-11-30
Method to increase the compressive stress of PECVD silicon nitride films
App 20060269692 - Balseanu; Mihaela ;   et al.
2006-11-30
Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
App 20060269693 - Balseanu; Mihaela ;   et al.
2006-11-30
Tensile and compressive stressed materials for semiconductors
App 20060105106 - Balseanu; Mihaela ;   et al.
2006-05-18
High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
App 20060093756 - Rajagopalan; Nagarajan ;   et al.
2006-05-04
Method for monolithically integrating silicon carbide microelectromechanical devices with electronic circuitry
App 20040077164 - Kornegay, Kevin ;   et al.
2004-04-22

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed